SlideShare a Scribd company logo
1 of 68
EE895KR
Advanced VLSI Design
Kaushik Roy
Purdue University
Dept. of ECE
kaushik@purdue.edu
2
Course Overview
• Targeted for graduate students who have
already taken basic VLSI design classes
• Real world challenges and solutions in
designing high-performance and low-power
circuits
• Relations to VLSI Design
– Recent developments in digital IC design
– Project oriented
– Student participation: class presentation
3
Prerequisite
• MOS VLSI Design or equivalent
– MOS transistor
– Static, dynamic logic
– Adder
• Familiarity with VLSI CAD tools
– Magic or Cadence: LVS, DRC
– HSPICE
• Basic knowledge on solid-state physics
4
Class Materials
• Lecture notes: primary reference
• K. Roy, S. Prasad, Low Power CMOS VLSI
Circuit Design, John Wiley
• A. Chandrakasan, W. Bowhill, F. Fox, Design of
High-Performance Microprocessor Circuits,
IEEE Press, 2001.
• Y. Taur, T. Ning, Fundamentals of Modern VLSI
Devices, Cambridge University Press, 2002.
• J. Rabaey, A. Chandrakasan, B. Nikolic, Digital
Integrated Circuits: A Design Perspective,
Prentice Hall, 2nd edition, 2003. (prerequisite)
5
Class Organization
• One exam (40% of overall grade)
• Term-long project (60%)
– Proposal (5%)
– Midterm presentation (15%) – background
material and proposed work
– Final presentation (20%)
– Final report (20%)
6
CAD Tools
• Cadence
– Schematic editor, layout editor, DRC, LVS
• HSPICE, awaves
• Technology files
– TSMC 0.18μm, BPTM 70nm, …
• Synopsys design compiler, library compiler
• Taurus-device, Taurus-medici
• Everyone should have some experience with
these tools
7
Term Project
• Single person project
• Proposal (~week 3)
– 2 pages
– Topic, problem statement, research plan, references
• Midterm presentation (~week 7)
– 15 mins
– Literature survey
– Off campus students can give presentations over the
phone
• Final presentation (early December)
– 20 mins
– Background, final results, contributions
• Final report (Dec. 10)
– Publishable quality
8
Project Topic
• Students pick the research topic they want to work on
• After the literature survey, choose a paper that you
would like to evaluate yourself
• Has to be on digital VLSI circuit DESIGN
– Op-amp design alone is not acceptable
– Op-amp design for digital applications is acceptable
• Show the paper’s claim using your own simulations
• Your contribution must be clearly shown at the end
– Improve previous design
– New circuit, modeling technique
– Show limitation of previous techniques
• Talk to the instructor in case you need help
9
How to Find a Project Topic?
• Conferences
– International Solid-State Circuits Conference (ISSCC,
top conference!): slides posted on IEEExplore
– Symposium on VLSI Circuits (VLSIC), DAC, ICCAD
– Custom Integrated Circuits Conference (CICC)
• Journal
– IEEE TVLSI, IEEE TCAD, IEEE TED
– IEEE Journal of Solid-State Circuits (JSSC)
– Intel Technology Journal
– IBM Journal on R & D
10
How to Find a Project Topic?
• Funding agencies
– Research needs document (www.src.org)
• Presentation
– University of Michigan VLSI seminar series
(www.eecs.umich.edu/vlsi_seminar/)
– Design automation conference (www.dac.com)
• Pick a recent issue in VLSI design (< 5 years)
• I suggest you start doing the literature survey
ASAP (deadline coming up in 3 weeks)
11
Acknowledgements
• Prof. Chris Kim
• Intel circuit research labs (S. Borkar and many
others)
• IBM
• Copy right 2002 J. Rabaey et al.
12
Academic Misconduct
• Students caught engaging in an academically
dishonest practice will receive a failing grade
for the course.
• University policy on academic dishonesty will
be followed strictly.
13
Course Topics
• Scaling issues
• High performance design
– High performance logic family, clocking strategies,
interconnects
• Low power design
– Low voltage designs, leakage control techniques,
circuit/device/technology issues, low power SRAM
• Variation tolerant design
– Process compensating techniques
• Power delivery, interconnect, reliability
• Bulk and SOI
14
A physical system as a computing
medium
• We need to create a bit first. Information processing always
requires physical carrier, which are material particles.
• First requirement to physical realization of a bit implies creating
distinguishable states within a system of such material particles.
• The second requirement is conditional change of state.
• The properties of distinguishability and conditional change of
state are two fundamental properties of a material subsystem to
represent information. These properties can be obtained by
creating energy barriers in a material system.
15
Particle Location is an Indicator of State
1 1 0 0 1 0
17
Barrier engineering in
semiconductors
n n
p
By doping, it is possible to create a built-in field and energy barriers of
controllable height and length within semiconductor. It allows one to achieve
conditional complex electron transport between different energy states inside
semiconductors that is needed in the physical realization of devices for
information processing.
18
Kroemer’s Lemma of Proven
Ignorance
• If in discussing a semiconductor
problem, you cannot draw an Energy-
Band-Diagram, this shows that you
don’t know what are you talking about
• If you can draw one, but don’t, then your
audience won’t know what are you
talking about
19
Moore’s Law
 Intel founder and chairman Gordon Moore predicted in
1965 that the number of transistors on a chip will double
every 18-24 months
20
Transistor Scaling
 Constant E-field scaling: voltage and dimensions (both
horizontal and vertical) are scaled by the same factor k,
(~1.4), such that the electrical field remains unchanged.
21
Technology Scaling
2
2
7
.
0
reduction)
delay
(30%
7
.
0
7
.
0
7
.
0
7
.
0
)
(
,
,
7
.
0








 





 





 




 


 


 

scales
dd
scales
dd
scales
t
dd
scales
t
scales
dd
scale
CV
E
I
CV
D
V
V
T
kW
I
V
V
Dimensions
ox
22
IC Frequency & Power Trends
• Clock
frequency
improves
50%
• Gate delay
improves
~30%
• Power
increases
50%
• Power =
CL V2 f
Frequency
(MHz)
1.0 0.8 0.6 .35 .25 .18
Technology Generation (m)
0.01
0.1
1
10
100
1000
1 2 3 4 5 6 7
Chip
Power
(W)
0
200
400
600
800
1000
Frequency
(M
Hz)
Frequency
Power
486DX
CPU
Pentium
Processor
386
Pentium II
Processor
R
R
1000
100
10
1
0.1
0.01
Chip
Power
(W)
1000
800
600
400
200
0
 Active switched capacitance “CL” is increasing.
23
Vdd vs. Vt scaling
• Recently:
constant e-field
scaling, aka
voltage scaling
• VCC  1V
• VCC & modest VT
scaling
• Loss in gate
overdrive (VCC-VT)
1.4 1.0 0.8 0.6 .35 .25 .18
Technology Generation (m)
0
1
2
3
4
5
0 1 2 3 4 5 6 7
V
CC
or
V
T
(V) VT=.45V
VCC=1.8V
VCC
VT
(VCC- VT)
Gate over drive
V
CC
or
V
T
(V)
5
4
3
2
1
0
 Voltage scaling is good for controlling IC’s active power,
but it requires aggressive VT scaling for high performance
24
Delay
2
W
W
1 .
t 

+
CL T
ox
V
DD
V
T
V
DD
n p
05 05
03 09 13
2
. .
. ( . ) .
( ) [1]
[1] C. Hu, “Low Power Design Methodologies,”
Kluwer Academic Publishers, p. 25.
D
DD
L
d
I
V
C

t
2
)
1
(
)
2
(
DD
T
DD
ox
L
d
V
V
V
C
L
W
C



t
)
1
(
DD
T
SAT
ox
L
d
V
V
WC
C



t
Long Channel MOSFET
Short Channel MOSFET
Performance significantly degrades when VDD approaches 3VT.
25
VT Scaling: VT and IOFF Trade-off
 As VT decreases, sub-threshold leakage increases
 Leakage is a barrier to voltage scaling
Performance vs Leakage:
VT  IOFF  ID(SAT)  Low VT
High VT
VG
VTL VTH
I
DS
IOFFL
IOFFH
VD = VDD
fixed Tox
ID(SAT)
)
(
)
( 3 T
GS
SAT
ox
eff
D V
V
C
W
K
SAT
I 
 
2
2 )
(
)
( T
GS
eff
eff
D V
V
K
L
W
SAT
I 

)
(
1
T
GS V
V
eff
eff
subth
OFF e
K
L
W
I
I 


26
Constant Field Scaling
Device and circuit parameters Factor
Scaling
assumptions
Device dimensions (tox, L, W, Xj)
Doping concentration (Na, Nd)
Voltage (V)
1/k
k
1/k
Device
parameters
Electric field (E)
Capacitance (C=εA/t)
Current (I)
Channel resistance (Rch)
1
1/k
1/k
1
Circuit
parameters
Delay (CV/I)
Power (VI)
Switching energy (CV2)
Circuit density (1/A)
Power density (P/A)
1/k
1/k2
1/k3
k2
1
27
Scaling in the Vertical Dimension
• Transistor Vt rolls off as the channel length is
reduced
• Shallow junction depth reduces Vt roll-off
• However, sheet resistance increases
28
Scaling in the Vertical Dimension
• Vertical dimension scales less than horizontal
• Aggravates short channel effect (Vt roll-off)
29
Constant Voltage Scaling
Device and circuit parameters Factor
Scaling
assumptions
Device dimensions (tox, L, W, Xj)
Doping concentration (Na, Nd)
Voltage (V)
1/k
k
1
Device
parameters
Electric field (E)
Capacitance (C=εA/t)
Current (I)
Channel resistance (Rch)
k
1/k
k
1/k
Circuit
parameters
Delay (CV/I)
Power (VI)
Switching energy (CV2)
Circuit density (1/A)
Power density (P/A)
1/k2
k
1/k
k2
k3
30
Constant Voltage Scaling
• More aggressive scaling than constant field
• Limitations
– Reliability problems due to high field
– Power density increases too fast
• Both constant field and constant voltage
scaling have been followed in practice
• Field and power density has gone up as a
byproduct of high performance, but till now
designers are able to handle the problems
31
ITRS Roadmap
• International Technology Roadmap for Semiconductors
2002 projection (http://public.itrs.net/)
32
Transistor Scaling
 90nm is in production, 65nm in research phase
 New technology generation introduced every 2-3 years
33
Cost per Transistor
 You can buy 10M transistors for a buck
 They even throw in the interconnect and package for free
34
Transistors Shipped Per Year
 Today, there are about 100 transistors for every ant
- Gordon Moore, ISSCC ‘04
35
Transistors per Chip
 1.7B transistors in Montecito (next generation Itanium)
 Most of the devices used for on-die cache memory
37
Chip Frequency
 30% higher frequency every new generation
38
Die Size
 ~15% larger die every new generation
 This means more than 2X increase in transistors per chip
39
Supply Voltage Scaling
 Supply voltage is reduced for active power control
f
V
C
P dd
active
2

40
4 Decades of Transistor Scaling:
Itanium 2 Processor
41
Power Density
Year
Power
density
(W/cm
2
)
 High-end microprocessors: Packaging, cooling
 Mobile/handheld applications: Short battery life
42
Active and Leakage Power
Year
Power
(W)
 Transistors are becoming dimmers
dd
t
L
V
V
C
delay


1
)
/
exp(
q
mkT
V
I t
leak


43
Leakage Power Crawling Up in Itanium 2
 Transistor leakage is perhaps the biggest problem
44
Leakage Power versus Temp.
 Leakage power is problematic in active mode for
high performance microprocessors
0.18, 15mm die, 1.4V
0% 0% 1% 1% 2% 3% 5% 7% 9%
-
10
20
30
40
50
60
70
Temp (C)
Power
(Watts)
Leakage
Active
0.1, 15mm die, 0.7V
6% 9% 14%
19%
26%
33%
41%
49%
56%
-
10
20
30
40
50
60
70
Temp (C)
Power
(Watts)
Leakage
Active
45
Thermal Runaway
 Destructive positive feedback mechanism
 Leakage increases exponentially with temperature
 May destroy the test socket  thermal sensors required
Increased
heating
Higher
leakage
Higher power
dissipation
Increased
static current
46
Gate Oxide Thickness
 Electrical tox > Physical tox
 Due to gate depletion and carrier quantization in the
channel
47
Gate Tunneling Leakage
 MOSFET no longer have infinite input resistance
 Impacts both power and functionality of circuits
48
Process Variation in Microprocessors
 Fast chips burn too much power
 Slow chips cannot meet the frequency requirement
49
Process Variation in Transistors
 More than 2X variation in Ion, 100X variation Ioff
 Within-dies, die-to-die, lot-to-lot
0.4
0.6
0.8
1.0
1.2
1.4
0.01 0.1 1 10 100
Normalized IOFF
Normalized
I
ON
NMOS
PMOS
100X
2X
150nm, 110°C
50
Sources of Process Variation
 Intrinsic parameter variation (static)
- Channel length, random dopant fluctuation
 Environmental variation (dynamic)
- Temperature, supply variations
51
Sub-wavelength Lithography
52
Line Edge/Width Roughness
• Ioff and Idsat impacted by LER and LWR
53
Random Dopant Fluctuation
 Vt variation caused by non-uniform channel
dopant distribution
54
Supply Voltage Integrity
• IR noise due to large current consumption
• Ldi/dt noise due to new power reduction
techniques (clock gating, power gating, body
biasing) with power down mode
55
Supply Voltage Integrity
• Degrades circuit
performance
• Supply voltage
overshoot causes
reliability issues
• Power wasted by
parasitic resistance
causes self-heating
• Vdd fluctuation should
be less than 10%
Courtesy IBM
56
 Design complexity surpasses manpower
 Effective CAD tools, memory dominated chips
Productivity Gap
57
Lithography Tool Cost
 What will end Moore’s law, economics or physics?
58
Interconnect Scaling
• Global interconnects get longer due to larger
die size
• Wire scaling increases R, L and C
• Example: local vs. global interconnect delay
59
Interconnect Delay Problem
 Local interconnect has sped up (shorter wires)
 Global interconnect has slowed down (RC doesn’t scale)
1997 SIA
technology
roadmap
60
Interconnect Metal Layers
 Local wires have high density to accommodate the
increasing number of devices
 Global wires have low RC (tall, wide, thick, scarce wires)
M1
M2
M3
M4
M5
M6
Interconnect distribution scaling trends
• RC/m scaling trend is only one side of the story...
10 100 1,000 10,000 100,000
Length (u)
No
of
nets
(Log
Scale)
Pentium Pro (R)
Pentium(R) II
Pentium (MMX)
Pentium (R)
Pentium (R) II
Source:
Intel
62
Power Delivery & Distribution Challenges
• High-end microprocessors approaching > 10 GHz
• How to deliver and distribute ~100A at < 1V for < $20!
• On-die power density >>> hot-plate power density
• crossover happened back in 0.6m technology!
• di/dt noise only worsening with scaling: drivers are one of the sources.
P6
P5
P4
P3
1.E+00
1.E+01
1.E+02
1.E+03
1.E+04
1.E+05
1.E+06
1.E+07
1.E+08
1.5
1
0.8
0.6
0.35
0.25
0.18
0.13
0.09
0.06
di/dt
in
AU
Lead Processors
First compaction
Second compaction
0
10
20
30
40
50
60
70
Watts/cm
2
Example multi-layer system
0.80 x 1.6m
0.64m
1.6m
0.32 x 0.64m
0.64m
0.25 x 0.48m
0.50m
M4
M5
M3
M2
M1
Poly
Substrate
0.32 x 0.64m
1.00 x 1.80m
2.00m
M6
1.00 x 1.80m
2.00m
M7 Al
0.80 x 1.6m
1.6m
K. Soumyanath et. al. [2]
64
Cross Talk Noise
 As wires are brought closer with scaling, capacitive
coupling becomes significant
 Adjacent wires on same layer have stronger coupling
65
Cross Talk Noise
 Multiple aggressors multiple victims possible
 Cross talk noise can cause logic faults in dynamic circuits
66
Cross Talk and Delay
 Capacitive cross talk
can affect delay
 If aggressor(s) switch
in opposite direction,
effective coupling
capacitance is doubled
 On the other hand, if
aggressor(s) switch in
the same direction, Cc
is eliminated
 Significant difference in
RC delay depending on
adjacent switching
activity
67
Soft Error In Storage Nodes
• Soft errors are caused by
– Alpha particles from package materials
– Cosmic rays from outer space
Logic 1 Logic 0
Vinduced
68
Soft Error In Storage Nodes
• Error correction code
• Shielding
• SOI
• Radiation-hardened
cell
69
More Roadblocks
 Memory stability
 Long term reliability
 Mixed signal design issues
 Mask cost
 Testing multi-GHz processors
 Skeptics: Do we need a faster computer?
 …
 Eventually, it all boils down to economics
70
Summary
 Digital IC Business is Unique
 Things Get Better Every Few Years
 Companies Have to Stay on Moore’s Law Curve to
Survive
 Benefits of Transistor Scaling
 Higher Frequencies of Operation
 Massive Functional Units, Increasing On-Die Memory
 Cost/MIPS Going Down
 Downside of Transistor Scaling
 Power (Dynamic and Static)
 Process Variation
 Design/Manufacturing Cost
 ….

More Related Content

Similar to Lect_01_Intro.ppt

Emerging Technologies in On-Chip and Off-Chip Interconnection Networks
Emerging Technologies in On-Chip and Off-Chip Interconnection NetworksEmerging Technologies in On-Chip and Off-Chip Interconnection Networks
Emerging Technologies in On-Chip and Off-Chip Interconnection NetworksAshif Sikder
 
ASIC Design Fundamentals.pptx
ASIC Design Fundamentals.pptxASIC Design Fundamentals.pptx
ASIC Design Fundamentals.pptxRameshK531901
 
presentation for NEC course to defend for NEP 2020
presentation for NEC course to defend for NEP 2020presentation for NEC course to defend for NEP 2020
presentation for NEC course to defend for NEP 2020DrVikasMahor
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...Nathan Mathis
 
233466440 rg-major-project-final-complete upload
233466440 rg-major-project-final-complete upload233466440 rg-major-project-final-complete upload
233466440 rg-major-project-final-complete uploadHoopeer Hoopeer
 
wireless charging of an electrical vechicle 2
wireless charging of an electrical vechicle 2wireless charging of an electrical vechicle 2
wireless charging of an electrical vechicle 2hari prasad
 
lowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdflowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdfManiBharathNuti1
 
ECE692_1_1208.I hope helpful everyone this ppt
ECE692_1_1208.I hope helpful everyone this pptECE692_1_1208.I hope helpful everyone this ppt
ECE692_1_1208.I hope helpful everyone this pptpranilArunJadhav
 
Lect2 up010 (100324)
Lect2 up010 (100324)Lect2 up010 (100324)
Lect2 up010 (100324)aicdesign
 
High efficiency push pull converter for photovoltaic applications
High efficiency push pull converter for photovoltaic applicationsHigh efficiency push pull converter for photovoltaic applications
High efficiency push pull converter for photovoltaic applicationsEklavya Sharma
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...VLSICS Design
 
Kashif Nisar Interview.pptx
Kashif Nisar Interview.pptxKashif Nisar Interview.pptx
Kashif Nisar Interview.pptxKashifNisar16
 
Intro_PowerElectronics.pdf
Intro_PowerElectronics.pdfIntro_PowerElectronics.pdf
Intro_PowerElectronics.pdfEricRapirap1
 
Dr. Michael Baecker at BASF Science Symposium 2015
Dr. Michael Baecker at BASF Science Symposium 2015Dr. Michael Baecker at BASF Science Symposium 2015
Dr. Michael Baecker at BASF Science Symposium 2015BASF
 
Es2 c0 15-2021
Es2 c0 15-2021Es2 c0 15-2021
Es2 c0 15-2021LaibaRao4
 
Electrical circuits cse 132 introduction
Electrical circuits cse 132 introductionElectrical circuits cse 132 introduction
Electrical circuits cse 132 introductionUniversity of Potsdam
 

Similar to Lect_01_Intro.ppt (20)

Emerging Technologies in On-Chip and Off-Chip Interconnection Networks
Emerging Technologies in On-Chip and Off-Chip Interconnection NetworksEmerging Technologies in On-Chip and Off-Chip Interconnection Networks
Emerging Technologies in On-Chip and Off-Chip Interconnection Networks
 
ASIC Design Fundamentals.pptx
ASIC Design Fundamentals.pptxASIC Design Fundamentals.pptx
ASIC Design Fundamentals.pptx
 
presentation for NEC course to defend for NEP 2020
presentation for NEC course to defend for NEP 2020presentation for NEC course to defend for NEP 2020
presentation for NEC course to defend for NEP 2020
 
DIGITALISATION OF POWER DISTRIBUTION SUSBSTATION
DIGITALISATION OF POWER DISTRIBUTION SUSBSTATIONDIGITALISATION OF POWER DISTRIBUTION SUSBSTATION
DIGITALISATION OF POWER DISTRIBUTION SUSBSTATION
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
 
233466440 rg-major-project-final-complete upload
233466440 rg-major-project-final-complete upload233466440 rg-major-project-final-complete upload
233466440 rg-major-project-final-complete upload
 
wireless charging of an electrical vechicle 2
wireless charging of an electrical vechicle 2wireless charging of an electrical vechicle 2
wireless charging of an electrical vechicle 2
 
lowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdflowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdf
 
ECE692_1_1208.ppt
ECE692_1_1208.pptECE692_1_1208.ppt
ECE692_1_1208.ppt
 
ECE692_1_1208.I hope helpful everyone this ppt
ECE692_1_1208.I hope helpful everyone this pptECE692_1_1208.I hope helpful everyone this ppt
ECE692_1_1208.I hope helpful everyone this ppt
 
Lect2 up010 (100324)
Lect2 up010 (100324)Lect2 up010 (100324)
Lect2 up010 (100324)
 
High efficiency push pull converter for photovoltaic applications
High efficiency push pull converter for photovoltaic applicationsHigh efficiency push pull converter for photovoltaic applications
High efficiency push pull converter for photovoltaic applications
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
 
3 sem ecsyll
3 sem ecsyll3 sem ecsyll
3 sem ecsyll
 
Kashif Nisar Interview.pptx
Kashif Nisar Interview.pptxKashif Nisar Interview.pptx
Kashif Nisar Interview.pptx
 
Intro_PowerElectronics.pdf
Intro_PowerElectronics.pdfIntro_PowerElectronics.pdf
Intro_PowerElectronics.pdf
 
OM PRAKASH.pptx
OM PRAKASH.pptxOM PRAKASH.pptx
OM PRAKASH.pptx
 
Dr. Michael Baecker at BASF Science Symposium 2015
Dr. Michael Baecker at BASF Science Symposium 2015Dr. Michael Baecker at BASF Science Symposium 2015
Dr. Michael Baecker at BASF Science Symposium 2015
 
Es2 c0 15-2021
Es2 c0 15-2021Es2 c0 15-2021
Es2 c0 15-2021
 
Electrical circuits cse 132 introduction
Electrical circuits cse 132 introductionElectrical circuits cse 132 introduction
Electrical circuits cse 132 introduction
 

Recently uploaded

APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 

Recently uploaded (20)

APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 

Lect_01_Intro.ppt

  • 1. EE895KR Advanced VLSI Design Kaushik Roy Purdue University Dept. of ECE kaushik@purdue.edu
  • 2. 2 Course Overview • Targeted for graduate students who have already taken basic VLSI design classes • Real world challenges and solutions in designing high-performance and low-power circuits • Relations to VLSI Design – Recent developments in digital IC design – Project oriented – Student participation: class presentation
  • 3. 3 Prerequisite • MOS VLSI Design or equivalent – MOS transistor – Static, dynamic logic – Adder • Familiarity with VLSI CAD tools – Magic or Cadence: LVS, DRC – HSPICE • Basic knowledge on solid-state physics
  • 4. 4 Class Materials • Lecture notes: primary reference • K. Roy, S. Prasad, Low Power CMOS VLSI Circuit Design, John Wiley • A. Chandrakasan, W. Bowhill, F. Fox, Design of High-Performance Microprocessor Circuits, IEEE Press, 2001. • Y. Taur, T. Ning, Fundamentals of Modern VLSI Devices, Cambridge University Press, 2002. • J. Rabaey, A. Chandrakasan, B. Nikolic, Digital Integrated Circuits: A Design Perspective, Prentice Hall, 2nd edition, 2003. (prerequisite)
  • 5. 5 Class Organization • One exam (40% of overall grade) • Term-long project (60%) – Proposal (5%) – Midterm presentation (15%) – background material and proposed work – Final presentation (20%) – Final report (20%)
  • 6. 6 CAD Tools • Cadence – Schematic editor, layout editor, DRC, LVS • HSPICE, awaves • Technology files – TSMC 0.18μm, BPTM 70nm, … • Synopsys design compiler, library compiler • Taurus-device, Taurus-medici • Everyone should have some experience with these tools
  • 7. 7 Term Project • Single person project • Proposal (~week 3) – 2 pages – Topic, problem statement, research plan, references • Midterm presentation (~week 7) – 15 mins – Literature survey – Off campus students can give presentations over the phone • Final presentation (early December) – 20 mins – Background, final results, contributions • Final report (Dec. 10) – Publishable quality
  • 8. 8 Project Topic • Students pick the research topic they want to work on • After the literature survey, choose a paper that you would like to evaluate yourself • Has to be on digital VLSI circuit DESIGN – Op-amp design alone is not acceptable – Op-amp design for digital applications is acceptable • Show the paper’s claim using your own simulations • Your contribution must be clearly shown at the end – Improve previous design – New circuit, modeling technique – Show limitation of previous techniques • Talk to the instructor in case you need help
  • 9. 9 How to Find a Project Topic? • Conferences – International Solid-State Circuits Conference (ISSCC, top conference!): slides posted on IEEExplore – Symposium on VLSI Circuits (VLSIC), DAC, ICCAD – Custom Integrated Circuits Conference (CICC) • Journal – IEEE TVLSI, IEEE TCAD, IEEE TED – IEEE Journal of Solid-State Circuits (JSSC) – Intel Technology Journal – IBM Journal on R & D
  • 10. 10 How to Find a Project Topic? • Funding agencies – Research needs document (www.src.org) • Presentation – University of Michigan VLSI seminar series (www.eecs.umich.edu/vlsi_seminar/) – Design automation conference (www.dac.com) • Pick a recent issue in VLSI design (< 5 years) • I suggest you start doing the literature survey ASAP (deadline coming up in 3 weeks)
  • 11. 11 Acknowledgements • Prof. Chris Kim • Intel circuit research labs (S. Borkar and many others) • IBM • Copy right 2002 J. Rabaey et al.
  • 12. 12 Academic Misconduct • Students caught engaging in an academically dishonest practice will receive a failing grade for the course. • University policy on academic dishonesty will be followed strictly.
  • 13. 13 Course Topics • Scaling issues • High performance design – High performance logic family, clocking strategies, interconnects • Low power design – Low voltage designs, leakage control techniques, circuit/device/technology issues, low power SRAM • Variation tolerant design – Process compensating techniques • Power delivery, interconnect, reliability • Bulk and SOI
  • 14. 14 A physical system as a computing medium • We need to create a bit first. Information processing always requires physical carrier, which are material particles. • First requirement to physical realization of a bit implies creating distinguishable states within a system of such material particles. • The second requirement is conditional change of state. • The properties of distinguishability and conditional change of state are two fundamental properties of a material subsystem to represent information. These properties can be obtained by creating energy barriers in a material system.
  • 15. 15 Particle Location is an Indicator of State 1 1 0 0 1 0
  • 16. 17 Barrier engineering in semiconductors n n p By doping, it is possible to create a built-in field and energy barriers of controllable height and length within semiconductor. It allows one to achieve conditional complex electron transport between different energy states inside semiconductors that is needed in the physical realization of devices for information processing.
  • 17. 18 Kroemer’s Lemma of Proven Ignorance • If in discussing a semiconductor problem, you cannot draw an Energy- Band-Diagram, this shows that you don’t know what are you talking about • If you can draw one, but don’t, then your audience won’t know what are you talking about
  • 18. 19 Moore’s Law  Intel founder and chairman Gordon Moore predicted in 1965 that the number of transistors on a chip will double every 18-24 months
  • 19. 20 Transistor Scaling  Constant E-field scaling: voltage and dimensions (both horizontal and vertical) are scaled by the same factor k, (~1.4), such that the electrical field remains unchanged.
  • 20. 21 Technology Scaling 2 2 7 . 0 reduction) delay (30% 7 . 0 7 . 0 7 . 0 7 . 0 ) ( , , 7 . 0                                        scales dd scales dd scales t dd scales t scales dd scale CV E I CV D V V T kW I V V Dimensions ox
  • 21. 22 IC Frequency & Power Trends • Clock frequency improves 50% • Gate delay improves ~30% • Power increases 50% • Power = CL V2 f Frequency (MHz) 1.0 0.8 0.6 .35 .25 .18 Technology Generation (m) 0.01 0.1 1 10 100 1000 1 2 3 4 5 6 7 Chip Power (W) 0 200 400 600 800 1000 Frequency (M Hz) Frequency Power 486DX CPU Pentium Processor 386 Pentium II Processor R R 1000 100 10 1 0.1 0.01 Chip Power (W) 1000 800 600 400 200 0  Active switched capacitance “CL” is increasing.
  • 22. 23 Vdd vs. Vt scaling • Recently: constant e-field scaling, aka voltage scaling • VCC  1V • VCC & modest VT scaling • Loss in gate overdrive (VCC-VT) 1.4 1.0 0.8 0.6 .35 .25 .18 Technology Generation (m) 0 1 2 3 4 5 0 1 2 3 4 5 6 7 V CC or V T (V) VT=.45V VCC=1.8V VCC VT (VCC- VT) Gate over drive V CC or V T (V) 5 4 3 2 1 0  Voltage scaling is good for controlling IC’s active power, but it requires aggressive VT scaling for high performance
  • 23. 24 Delay 2 W W 1 . t   + CL T ox V DD V T V DD n p 05 05 03 09 13 2 . . . ( . ) . ( ) [1] [1] C. Hu, “Low Power Design Methodologies,” Kluwer Academic Publishers, p. 25. D DD L d I V C  t 2 ) 1 ( ) 2 ( DD T DD ox L d V V V C L W C    t ) 1 ( DD T SAT ox L d V V WC C    t Long Channel MOSFET Short Channel MOSFET Performance significantly degrades when VDD approaches 3VT.
  • 24. 25 VT Scaling: VT and IOFF Trade-off  As VT decreases, sub-threshold leakage increases  Leakage is a barrier to voltage scaling Performance vs Leakage: VT  IOFF  ID(SAT)  Low VT High VT VG VTL VTH I DS IOFFL IOFFH VD = VDD fixed Tox ID(SAT) ) ( ) ( 3 T GS SAT ox eff D V V C W K SAT I    2 2 ) ( ) ( T GS eff eff D V V K L W SAT I   ) ( 1 T GS V V eff eff subth OFF e K L W I I   
  • 25. 26 Constant Field Scaling Device and circuit parameters Factor Scaling assumptions Device dimensions (tox, L, W, Xj) Doping concentration (Na, Nd) Voltage (V) 1/k k 1/k Device parameters Electric field (E) Capacitance (C=εA/t) Current (I) Channel resistance (Rch) 1 1/k 1/k 1 Circuit parameters Delay (CV/I) Power (VI) Switching energy (CV2) Circuit density (1/A) Power density (P/A) 1/k 1/k2 1/k3 k2 1
  • 26. 27 Scaling in the Vertical Dimension • Transistor Vt rolls off as the channel length is reduced • Shallow junction depth reduces Vt roll-off • However, sheet resistance increases
  • 27. 28 Scaling in the Vertical Dimension • Vertical dimension scales less than horizontal • Aggravates short channel effect (Vt roll-off)
  • 28. 29 Constant Voltage Scaling Device and circuit parameters Factor Scaling assumptions Device dimensions (tox, L, W, Xj) Doping concentration (Na, Nd) Voltage (V) 1/k k 1 Device parameters Electric field (E) Capacitance (C=εA/t) Current (I) Channel resistance (Rch) k 1/k k 1/k Circuit parameters Delay (CV/I) Power (VI) Switching energy (CV2) Circuit density (1/A) Power density (P/A) 1/k2 k 1/k k2 k3
  • 29. 30 Constant Voltage Scaling • More aggressive scaling than constant field • Limitations – Reliability problems due to high field – Power density increases too fast • Both constant field and constant voltage scaling have been followed in practice • Field and power density has gone up as a byproduct of high performance, but till now designers are able to handle the problems
  • 30. 31 ITRS Roadmap • International Technology Roadmap for Semiconductors 2002 projection (http://public.itrs.net/)
  • 31. 32 Transistor Scaling  90nm is in production, 65nm in research phase  New technology generation introduced every 2-3 years
  • 32. 33 Cost per Transistor  You can buy 10M transistors for a buck  They even throw in the interconnect and package for free
  • 33. 34 Transistors Shipped Per Year  Today, there are about 100 transistors for every ant - Gordon Moore, ISSCC ‘04
  • 34. 35 Transistors per Chip  1.7B transistors in Montecito (next generation Itanium)  Most of the devices used for on-die cache memory
  • 35. 37 Chip Frequency  30% higher frequency every new generation
  • 36. 38 Die Size  ~15% larger die every new generation  This means more than 2X increase in transistors per chip
  • 37. 39 Supply Voltage Scaling  Supply voltage is reduced for active power control f V C P dd active 2 
  • 38. 40 4 Decades of Transistor Scaling: Itanium 2 Processor
  • 39. 41 Power Density Year Power density (W/cm 2 )  High-end microprocessors: Packaging, cooling  Mobile/handheld applications: Short battery life
  • 40. 42 Active and Leakage Power Year Power (W)  Transistors are becoming dimmers dd t L V V C delay   1 ) / exp( q mkT V I t leak  
  • 41. 43 Leakage Power Crawling Up in Itanium 2  Transistor leakage is perhaps the biggest problem
  • 42. 44 Leakage Power versus Temp.  Leakage power is problematic in active mode for high performance microprocessors 0.18, 15mm die, 1.4V 0% 0% 1% 1% 2% 3% 5% 7% 9% - 10 20 30 40 50 60 70 Temp (C) Power (Watts) Leakage Active 0.1, 15mm die, 0.7V 6% 9% 14% 19% 26% 33% 41% 49% 56% - 10 20 30 40 50 60 70 Temp (C) Power (Watts) Leakage Active
  • 43. 45 Thermal Runaway  Destructive positive feedback mechanism  Leakage increases exponentially with temperature  May destroy the test socket  thermal sensors required Increased heating Higher leakage Higher power dissipation Increased static current
  • 44. 46 Gate Oxide Thickness  Electrical tox > Physical tox  Due to gate depletion and carrier quantization in the channel
  • 45. 47 Gate Tunneling Leakage  MOSFET no longer have infinite input resistance  Impacts both power and functionality of circuits
  • 46. 48 Process Variation in Microprocessors  Fast chips burn too much power  Slow chips cannot meet the frequency requirement
  • 47. 49 Process Variation in Transistors  More than 2X variation in Ion, 100X variation Ioff  Within-dies, die-to-die, lot-to-lot 0.4 0.6 0.8 1.0 1.2 1.4 0.01 0.1 1 10 100 Normalized IOFF Normalized I ON NMOS PMOS 100X 2X 150nm, 110°C
  • 48. 50 Sources of Process Variation  Intrinsic parameter variation (static) - Channel length, random dopant fluctuation  Environmental variation (dynamic) - Temperature, supply variations
  • 50. 52 Line Edge/Width Roughness • Ioff and Idsat impacted by LER and LWR
  • 51. 53 Random Dopant Fluctuation  Vt variation caused by non-uniform channel dopant distribution
  • 52. 54 Supply Voltage Integrity • IR noise due to large current consumption • Ldi/dt noise due to new power reduction techniques (clock gating, power gating, body biasing) with power down mode
  • 53. 55 Supply Voltage Integrity • Degrades circuit performance • Supply voltage overshoot causes reliability issues • Power wasted by parasitic resistance causes self-heating • Vdd fluctuation should be less than 10% Courtesy IBM
  • 54. 56  Design complexity surpasses manpower  Effective CAD tools, memory dominated chips Productivity Gap
  • 55. 57 Lithography Tool Cost  What will end Moore’s law, economics or physics?
  • 56. 58 Interconnect Scaling • Global interconnects get longer due to larger die size • Wire scaling increases R, L and C • Example: local vs. global interconnect delay
  • 57. 59 Interconnect Delay Problem  Local interconnect has sped up (shorter wires)  Global interconnect has slowed down (RC doesn’t scale) 1997 SIA technology roadmap
  • 58. 60 Interconnect Metal Layers  Local wires have high density to accommodate the increasing number of devices  Global wires have low RC (tall, wide, thick, scarce wires) M1 M2 M3 M4 M5 M6
  • 59. Interconnect distribution scaling trends • RC/m scaling trend is only one side of the story... 10 100 1,000 10,000 100,000 Length (u) No of nets (Log Scale) Pentium Pro (R) Pentium(R) II Pentium (MMX) Pentium (R) Pentium (R) II Source: Intel
  • 60. 62 Power Delivery & Distribution Challenges • High-end microprocessors approaching > 10 GHz • How to deliver and distribute ~100A at < 1V for < $20! • On-die power density >>> hot-plate power density • crossover happened back in 0.6m technology! • di/dt noise only worsening with scaling: drivers are one of the sources. P6 P5 P4 P3 1.E+00 1.E+01 1.E+02 1.E+03 1.E+04 1.E+05 1.E+06 1.E+07 1.E+08 1.5 1 0.8 0.6 0.35 0.25 0.18 0.13 0.09 0.06 di/dt in AU Lead Processors First compaction Second compaction 0 10 20 30 40 50 60 70 Watts/cm 2
  • 61. Example multi-layer system 0.80 x 1.6m 0.64m 1.6m 0.32 x 0.64m 0.64m 0.25 x 0.48m 0.50m M4 M5 M3 M2 M1 Poly Substrate 0.32 x 0.64m 1.00 x 1.80m 2.00m M6 1.00 x 1.80m 2.00m M7 Al 0.80 x 1.6m 1.6m K. Soumyanath et. al. [2]
  • 62. 64 Cross Talk Noise  As wires are brought closer with scaling, capacitive coupling becomes significant  Adjacent wires on same layer have stronger coupling
  • 63. 65 Cross Talk Noise  Multiple aggressors multiple victims possible  Cross talk noise can cause logic faults in dynamic circuits
  • 64. 66 Cross Talk and Delay  Capacitive cross talk can affect delay  If aggressor(s) switch in opposite direction, effective coupling capacitance is doubled  On the other hand, if aggressor(s) switch in the same direction, Cc is eliminated  Significant difference in RC delay depending on adjacent switching activity
  • 65. 67 Soft Error In Storage Nodes • Soft errors are caused by – Alpha particles from package materials – Cosmic rays from outer space Logic 1 Logic 0 Vinduced
  • 66. 68 Soft Error In Storage Nodes • Error correction code • Shielding • SOI • Radiation-hardened cell
  • 67. 69 More Roadblocks  Memory stability  Long term reliability  Mixed signal design issues  Mask cost  Testing multi-GHz processors  Skeptics: Do we need a faster computer?  …  Eventually, it all boils down to economics
  • 68. 70 Summary  Digital IC Business is Unique  Things Get Better Every Few Years  Companies Have to Stay on Moore’s Law Curve to Survive  Benefits of Transistor Scaling  Higher Frequencies of Operation  Massive Functional Units, Increasing On-Die Memory  Cost/MIPS Going Down  Downside of Transistor Scaling  Power (Dynamic and Static)  Process Variation  Design/Manufacturing Cost  ….

Editor's Notes

  1. Let us assume that when we go from one technology generation to the next, MOS device dimensions scale by 0.7 and Vdd & Vt, both, scale by beta. (To the first order the delay of critical path in a die will then scale by 0.7, irrespective of how Vdd and Vt scale, as long as, both scale by the same amount. On the other hand active energy scaling, will depend on how Vdd scales. So) It is obvious that, the most energy efficient way of getting the 30% delay reduction is by scaling both Vdd and Vt. This 30% delay reduction with technology scaling is not realistic, because it assumes that the mean threshold of the devices in the critical path for all the die samples will be the same. So, let us see how delay scales in the presence of die-to-die variation in mean threshold voltage. Skip the notes in red.
  2. 2
  3. 2
  4. 2
  5. 2