SlideShare a Scribd company logo
1 of 16
Download to read offline
INITIATING COVERAGE REPORT Temple University Investment Association
The Fox Fund
November 13, 2017
Jay Patel: Lead Analyst
jay.s.patel@temple.edu
Manav Patel: Associate Analyst
manavpatel@temple.edu
Amy Nguyen: Associate Analyst
amynguyen@temple.edu
COMPANY OVERVIEW
KLA- Tencor Corp (NASDAQ: KLAC), formed in April 1997
through the merger of KLA Instruments (KLA) and Tencor
Instruments (Tencor), is a California based process control and
yield management solutions company. The Company provides
defect inspection tools and metrology equipment solutions to
customers in semiconductor and related nanoelectronics
industries, as well as other high technology industries, such as
the advanced packaging, light emitting diode (“LED”), power
devices, compound semiconductor, and data storage industries.
Its customers include the world’s leading semiconductor
manufacturing companies such as Samsung Electronics Co., Ltd
and Taiwan Semiconductor Manufacturing Company
Limited. KLA-Tencor generates 85% of its revenue from
outside of the U.S, with a FY17 revenue breakdown by
geography as follow: Taiwan (32%), Korea (20%), North
America (14%), China (12%), Japan (10%), Europe & Israel
(8%), and Rest of Asia (4%). KLAC reports 2Q18 earnings on
January 1, 2018 and ends fiscal year on June 30th.
INVESTMENT THESIS
KLAC is currently trading at a 9.4% discount to its average 3-
year historical P/E multiple. Investors sent the stock tumbling
~22% following the announcement of ~210 job cuts, and
another ~13% following the cancellation of the mega-merger
deal between KLAC & LRCX. KLAC dominates the PDC
segment of the semiconductor equipment with a market share
of ~52%, and an array of 20,000 products. Due to the
company’s industry leading products, it is able to charge a
premium, as they are technically advanced than their
competitors. As chipmakers continue to pursue Moore’s Law,
smaller chips are required to meet specific precision
requirements, which in turn will further increase the demand for
KLAC’s advanced PDC products. The heavy increase in the
capital expenditure allocation towards the semiconductor
industry from the chip manufacturers in support of 3D NAND
and 10nm related endeavors, also presents the company with a
growth opportunity. The increase in the intricacies of the
technology will lead to a higher demand for KLAC’s flagship
products in the PDC market segment of the semiconductor
equipment industry. Hence, the expansion of the Wafer
Fabrication Equipment market in China, and the advancement
of the EUV lithography technology in tandem with KLAC’s
strong relationship with its customers, strongly positions the
company moving forward. The aforementioned catalysts, along
with KLAC’s strong fundamentals, will provide multiple
expansion and drive the company to fair value of $126.59 and a
17.78x historical P/E multiple, yielding a total return of 20.90%.
TECHNOLOGY:SEMICONDUCTOREQUIPMENT
KLA-Tencor, Corp.
Exchange: NYSE Ticker: KLAC Target Price: $126.59
Sector Outperform
Recommendation: BUY
Key Statistics: values in mm except per share
Price $102.44 52 Week Low $74.2
Return 20.9% 52 Week High $110.01
Shares O/S 156.7 Dividend Yield 2.3%
Market Cap $16,053 Enterprise Value $15,771
One-Year Price Graph
Earnings/Revenue Surprise History:
Quarters EPS Revenue Δ Price
2Q17 8.26% 4.38% 3.50%
3Q17 4.38% 2.03% (5.18%)
4Q17 2.69% 1.60% (5.53%)
1Q18 9.22% 2.34% (0.72%)
Earnings Projections:
Fiscal
Year
Q1 Q2 Q3 Q4 Total
2015 0.47 0.52 0.76 0.92 2.67
2016 0.57 0.86 0.98 1.42 3.82
2017 1.04 1.40 1.55 1.60 5.59
2018e 1.65 1.74e 1.77e 1.88e 7.05e
All prices current at end of previous trading sessions from date of report.
Data is sourced from local exchanges via FactSet, Bloomberg and other
vendors. The Fox Fund does and seeks to do business with companies
covered in its research reports.
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 2 
SEGMENT OVERVIEW
Wafer Inspection (46% FY2017 Revenue)
Front-end Wafer
KLA-Tencor offers front end wafer inspection tools for memory,
foundry, and logic chips customers. Their products include patterned
and unpatterned wafer defect inspection and qualification tools such
as 39xx, 29xx, Puma Family and 8 series which could identify particles
and pattern defects on the front surface, back surface, and edge of the
wafer, therefore enabling engineers to detect and monitor critical yield
excursions. The defect data found is then compiled and reduced to
relevant root-cause and yield-analysis information by the Company’s
suite of data management tools including Klarity Defect, Klarity
ACE, Klarity SSA, and Klarity Bitmap.
Wafer Manufacturing
KLA-Tencor ’s wafer manufacturing equipment and tools include
wafer manufacturing inspection Surfscan SPx, wafer shape quality
review products that can capture high resolution images of the defects
that inspection tools identify such as eDR7200 Series, as well as
metrology and data management systems.
Patterning (26% FY2017 Revenue)
Patterning uses the process of lithography and optical masks to print
patterns that guide the deposition or removal of material from the
wafer at specific steps in the device fabrication process. Lithography
is the process in which ultraviolet light is exposed to the desired IC
patterns onto a semiconductor wafer. KLA-Tencor’s innovative 5D
Patterning Control Solution™ drives optimal patterning through the
characterization, optimization and monitoring of processes inside and
outside the lithography module. In addition, to help customers to
monitor the effect of the process environment on production wafers,
KLA-Tencor offers many Lithography Process Monitoring products,
including ScannerTemp, SensArray 1840 Rev A, SensArray 1850,
Integrated Wafer, and Base Station 300/300Z. Moreover, the
Company provides Lithography Modeling and Simulation Software
(PROLITH) and Lithography Optimization Tools (ProDATA) to
help Researchers at advanced IC manufacturers, stepper companies,
track companies, and material providers explore critical-feature
designs, manufacturability and process-limited yield of proposed
lithographic technologies without the time and expense of printing
hundreds of test wafers. Patterning revenues also include revenue
gained from Reticle and Blank Manufacturing products such as Teron
600 Series, FlashScan 200 Series, as well as LMS IPRO Series
Global Services and Support (26% FY2017 Revenue)
KLA- Tencor provides services to customers in the U.S and other
countries including Belgium, China, Germany, Israel, Japan,
Singapore, Korea and Taiwan through subsidiary and branches. The
company generated revenue by providing engineering services,
technical supports and knowledge management systems for
customers through maintenance contracts, billable time and services
calls made after the expiration of warranty.
MOATS
Leaders in PDC space: KLAC was the
first one to introduce PDC solutions and
have diverse range of superior quality
products for patterning, WFE, etc. Such
vast product mix and a classy portfolio
helps build customer loyalty and maintain
its high market share. Semiconductor
industry is at the center of the
information technology sector, and the
tremendous advancements observed in
the field of technology over the years,
casts a shadow of growth over the
semiconductor industry. People are
always looking for better quality products
and compelling firms to take TQM
approach which requires firms to
employee quality control solutions.
Supplying products that directly aids such
approach, KLAC is benefitted by this
increasing demand for higher quality
products, which guides their top-line
growth.
Innovative Edge: KLAC’s R&D
spending is one of the highest in the
industry, and it spends about ~15% of its
revenue on R&D which is above its
competitors AMAT, LAM Research,
Hitachi, etc. Creativity and innovation are
the top market trends, and companies
that bring new things to customers are
valued above others. The constant
pressure to deliver ingenious material
have seen increase in the variety of gears
the market has to offer, and have reduces
product life span. Semiconductors make
the core of roomful appliances and they
have to keep improving to be at par with
the new innovation entering the markets.
We are convinced that the successful
investment in R&D, recruitment of
talented individuals that thrive for
improvement, and its expertise in PDC
space help them drive innovation in their
segment. Availability of large quantity of
customer produced at its disposal that
points to common problems its
customers face guides them in the
necessary direction, and help them to
keep on improving and providing
new/better products to its customers.
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 3 
INDUSTRY OVERVIEW
Semiconductor Equipment Industry
The worldwide sales of
semiconductor manufacturing
equipment are projected to
increase 19.8 percent to total
$49.4 billion in FY17, with a
7.7% expected growth in
FY18. The wafer processing
equipment segment is
forecasted to increase by 21.7
percent in FY18 to total $39.8
billion while the other
segments, which includes fab
facilities equipment, wafer manufacturing, as well as mask/reticle
equipment, are expected to increase 25.6 percent to total $2.3 billion. The
assembly and packaging equipment segment is projected to grow by 12.8
percent to $3.4 billion in FY17 while semiconductor test equipment is
expected to increase by 6.4 percent, to a total of $3.9 billion. The increase
in sale is driven by the continuing spending on advanced memory
equipment for 3D NAND flash memory card, the demand for certain
ICs driven by the Internet of Things, as well as the WFE growth in China
market. In addition, the introduction of EUV lithography is expected to
enable the development of 10nm and 7nm chips, revolutionizing the
scope and capabilities of the semiconductor industry.
Memory Equipment
As consumers are demanding more sophisticated memory chips to
satisfy their data and consumption needs, semiconductor manufacturers
are trying their best to improve their technology. These companies are
developing next generation 3D NAND products such as 64L and 128L.
Samsung, Western Digital, Toshiba, Intel, and Micron have already
launched CS or mass-products of 3D NAND 64L on the market. SK
Hynix also showed its 72L NAND die as a CS product. For n+1
generation with 96L or 128L, the two-stacked cell array architecture for
3D NAND would be adopted starting in 2018. Micron/Intel will keep
its own FG based 3D NAND cell structure for the next generation.
Regarding DRAM, the infrastructure of n+1 generation DRAM with
15nm or 16nm node will be carried out in 2018, leading to a surge in
demand for ICs equipment. The spur in data storage and connectivity
needs as a result of IoT, combined with the global demand for
smartphones and the ongoing adoption of solid-state devices which
require 3D NAND flash memory card and DRAM is primarily driving
the demand for memory chips. The spending on memory equipment is
expected to increase at a rate of 10-15% in FY18.
EUV Lithography
Lithography is the process in which ultraviolet light is exposed to the
desired IC patterns onto a semiconductor wafer. The qualification of
early EUV lithography processes and equipment allows the use of EUV
light of extremely short wavelength of 13.5 nm, enabling the long awaited
production of 10mn and 7mn chips by semiconductors companies such
as Intel and Samsung. In early and mid-FY17, several semiconductor
equipment companies such as KLA - Tencor and ASML have introduced
early version of EUV lithography equipment.
RISKS:
Revenue concentrated among a
few customers: KLAC’s large
portion of the revenue of the firm is
dependent on a few customers in the
semiconductor region, i.e. Samsung
Electronics (28.02%), Taiwan
Semiconductor (9.47%), and SK
Hynix (9.12%). We believe KLAC’s
uneven distribution of revenue, where
only a few number of customers are
responsible for a large portion of the
revenue, is a risk moving forward. As
these customers are in the cyclical
semiconductor industry, a decrease in
demand for their products during the
down cycle can lead to a muted
demand for KLAC’s products,
leading to negative ramifications on
company’s revenue and margins.
Reduction in Capital Expenditure
by customers: The products that
KLAC supplies are heavy-capital
equipment that are needed by other
firms in their production belt to help
them increase/maintain their product
quality. Firms dealing with processes
like fabrication, lithography, etc. in
their production chain need these
machines to increase production
efficiency, and spend a huge amount
of their capital to acquire them. Over
the years, these machineries have
become remarkably enduring and
work without problem for a long
time. In our opinion, this can be a risk
down the line as companies that
already have invested once in these of
products won’t see the need to invest
again, unless forced due to
technological change which
formulates the need of newer
equipment, or an increase in
production that leads to increase in
production units. In such a situation,
companies will want to invest in other
previously unaddressed areas, ceasing
further expenditure on PDC and yield
management solutions. This can be a
huge hit for KLAC as they majorly
deal in this segment.
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 4 
CATALYSTS
Wafer Fabrication Equipment Plants Growth in China
As semiconductors are the foundational enabling technology for both the advanced and basic electronics in today’s modern
age, the rapidly growing country – China – is strongly positioned to achieve its goal of reducing the amount of imported
chips, and increasing the production of chips nationally. Semiconductors are manufactured via one of the most advanced
and intricate processes, involving an average of 500 individual process steps. Two basic stages in the production process
are: front end and back end. The former involves materials preparation and wafer processing, while the latter involves the
assembly, packaging, and final testing of operations. Due to the complexity of the process, manufacturers heavily depend
on manufacturing inspection products, which inspect and measure the wafer and its individual circuits for size distortions,
and unwanted particles. KLAC is the leading semiconductor equipment company in the process control market, with a
massive market share of 52.1%; Applied Materials, which has the second highest market share, covers merely 11.8% of
the process control market.
Given China’s emerging middle class of
approximately 400 million people who are rapidly
integrating rudimentary technology such as: cell
phones, TVs, wearables, etc. in their daily tasks,
companies such as – Samsung, Intel, SK Hynix,
and Taiwan Semiconductor Manufacturing are
building new wafer fabrication facilities (fabs), as
they see the opportunity within and outside the
Chinese market. Currently, building a fab can cost
between $3B - $8B, depending on the planned
capacity of the chip manufacturer. With more than
two dozen new fab construction projections
underway, the wafer fabrication equipment (WFE)
market is conservatively expected to increase from
$11B in FY18 to $18B by FY20, growing at CAGR
of 7%. Driven by the content increases on
smartphones, and a robust growth in Internet of
Things, China’s 300mm-equalvent output of chips
is forecasted to more than triple, from about
400,000 wafer starts per month (wspm) to 1.4M
wspm by FY20. KLAC’s top three customers –
Samsung, Taiwan Semiconductor (TSMC), and SK
Hynix – have all invested in the nation’s mission, as they expand their production of 3D NAND Flash, Foundry, and
DRAM, respectively. Samsung’s initiative to invest $7B in China’s fab to expand its 3D NAND Flash production, is
estimated to increase its product sales from $2.8B to $6.1B; TSMC’s initiative in expanding its production of Foundry will
more than double its product sales from $0.64B to $1.5B; SK Hynix’s initiative in expanding its production of DRAM will
also increase its product sales from $3.7B to $5.5B. Considering these projections, and KLAC’s considerable market share
of ~52% in the PDC market, our team believes that the company’s top-line will increase by approximately $3B by FY20,
assuming the company’s market share remains stable; regarding the bear case, if KLAC’s market share drops to 40%, the
company will still its top-line rise by $2.4B by FY20.
KLAC’s top customer – Samsung – announced in its most recent earnings call that it will be increasing its capital
expenditure allocation towards semiconductor manufacturing innovations, specifically in the realm of memory – 3D
NAND and DRAM, to $26.4B, a whopping 123% increase from 2016. In comparison, the company’s other primary
customers, Intel and TSMC, are also increasing their capital expenditure allocations to $11.5B and $10.8B, respectively.
This increase in capex from all of the company’s major customers not only bolsters the argument that huge growth is
expected in the realm of memory chips, but also helps substantiate the fact that China is on track of achieving its goal of
producing more than 40% of the semiconductor chips it consumes on its own land. Going forward, with the combination
of the heavy increases in capex from KLAC’s top customers and both the material growth and progress of fabs in China,
KLAC is robustly positioned to take full advantage of its awaiting opportunities, as it will not only provide its products
and services to its existing loyal customers, but will also expand its market segment by providing to the local customers.
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 5 
Strong Portfolio with New Product Launches
KLA-Tencor Corporation has a diverse portfolio that includes PDC and yield management solution products for different
industries that require semiconductor material as a component or in its raw materials. The offerings mainly consist of
defect inspection, metrology, and lithography, which are required in all types of semiconductor appliances. Each offering
serves its own unique problem but requires a different machine based on the industry the company is serving in, following
processes that are specific to their industry. Defect inspection products are those used to detect contamination of the
semiconductor wafer (base foundation of an IC) due to foreign particle which affects the performance of the circuit.
Metrology devices are used to measure values of wafer size, space between different component, length of the wires, etc.
and see if they align with the standard pre-determined values. Lithography is a 2-step process of transferring the circuit
pattern to a “mask” or “reticle blank”, from which it is then transferred to the wafer. These processes are highly intricate
as they involve components which are extremely minute in size, sometimes not even visibly with human eyes and can be
easily harmed by minute earthly particles. These devices are basic necessities in making LEDs (light emitting diode),
foundries that make memory and logic chips (3D NAND, DRAM, etc.), artificial intelligence, communication devices,
aerospace devices and electric-automobile appliances, etc. for which KLAC provides quality PDC solutions. We believe
that this constantly increasing demand provide KLAC with huge growth scope, and being industry leader in this segment
KLAC can leverage this chance to improve sales further.
Nowadays, everybody is in contact with some or other kind of electrical device all the time, making technology a
precondition for life. This signifies the importance of technology and hence the semiconductor components that bring
them to life. The highest growth in the technology sector is seen in the computing devices which work on semiconductor
chips like 3D NAND and DRAM for storage, and logic chips for logical processing. The chip markets are growing at a
tremendous rate, memory and logic chip markets are both expected to boom in the coming years, and many new foundries
are being built to support this growth. As demand for chips is growing, there is a pressure to improve its processing. Chip
manufacturers responded to this by applying by the Moore’s Law, which states that the number of transistors on a circuit
doubles every 18 months. Chip manufacturers reduced the size of transistors and implanted more number of them in the
circuit, this helped in increasing processing speed and cut costs. As the size of these chips and its components decreases,
the lithography process becomes even harder to execute. Chip manufacturers are experiencing high defects while
patterning the circuit designs onto the circuits. Such small components require thin and sharp lines in its circuit design,
and any variation from its standard requirement can lead to decrease in efficiency of the chip’s functioning. This creates
room for KLAC to raise its top-line, an opportunity which we believe they will cherish using their newly launched product
FlashScan Series 200.
FlashScan Series 200 is a reticle blank inspection system that is
designed to improve optical or extreme ultraviolet (EUV)
lithography by reducing the defects of different kinds, such as-
bare substrates, absorber films, photoresist coating. During the
first step of patterning which involves transferring the design
onto the blank, the design might have defects related to the size
and the dimensions of the pattern drawn, leading to
inefficiencies. The FlashScan system has higher throughput and
sensitivity than is better than the other similar systems by its
competitors. Additionally, FlashScan use assistance from laser
scattering system from wafer defect inspection portfolio to
enhance its speed requirements to keep up with optical and
EUV blanks. This new system is estimated to generate about
$150M-$250M each year, for the next three years. Such high
tech development from KLAC’s using its R&D helps them stay
above the rest of the industry, while tying different products
from its strong and diverse portfolio to each other, helps them
make their products even better, outperforming competition in
this segment. Regarding the aforementioned growth prospect in
the 10nm & 7nm product innovation, our team strongly believes FlashScan 200 Series having a material impact on the
company’s financials, increasing not only its top-line by ~6% each year, but also bottom-line as well.
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 6 
POSITIVES
The Internet of Things
Internet of Things (IoT) is the process in which physical devices are embedded with internet and connected to the cloud,
allowing them to send and receive data efficiently and conveniently. The proliferation of IoT is driving the generation of
new digital data and the need of connectivity. It is estimated that in 2020, there will be approximately 20 to 50 billion
connected devices, and digital data is forecasted to exceed 44 zettabytes (about 10 times today). KLA- Tencor is well
positioned to benefit from these changes, as the increase in connectivity demands smaller chips with precise specifications,
which in turn increase the demand for the Company’s highly precise PCD products.
As the overwhelming majority of semiconductor devices used to enable IoTs end markets such as Augmented Reality,
Virtual Reality, Artificial Intelligence, cloud storage, Smart Automotive (driver assistance and autonomous), Smart
Manufacturing, and Smart MedTech are extremely valuable, the demand for smaller wafer diameter fabs (200mm and
smaller) increases. This increase in demand can be fundamentally be explained by the Moore’s Law phenomenon. 200mm
products fall into three categories: chips well suited for 200mm, chips that need to remain at 200mm but require more
advanced processes at that wafer size such as display drivers and controllers, and chips suited between 200mm and 300mm.
While the demand for 200mm equipment increases rapidly, the supply is insufficient. Semiconductor manufacturing firms
can purchase 200mm equipment through either third-party seller (used equipment) or original equipment makers.
However, third-party supply is limited as there are only 600 to 720 pieces of 200mm used equipment in inventory, while
the demand from chipmakers is somewhere between 3,000 to 5,000. To meet the industry standards for 200mm demand,
KLA- Tencor provides refurbished equipment at an economical yet profitable price for the company, and has introduced
several “remanufactured” systems in the market, such as a film metrology system (F5X), a bare wafer inspection tool
(Surfscan SP2), and brightfield (2367) and darkfield (9150) wafer inspection systems.
Transitions to 10nm & 7nm Integrated Circuits (ICs)
Semiconductor companies are transitioning from the existing 14nm ICs to a more compact technology such as 10nm and
7nm by producing chips with increasingly smaller linewidths - the physical dimensions of the smallest features in a circuit
pattern - to boost density and increase the number of transistors per chips, which in turn increase the processing speed of
the chip. Leading semiconductor manufacturing companies have made numerous announcements regarding their
investments in developing such as technology. Intel announced on February 2017 that it would invest $7B on a new 7nm
fab in Arizona. In March 2017, Samsung announced to invest $6.98B to improve its high-tech semiconductor production
lines by making additional investments to its 10-
nanometer production lines and build new facilities
capable of producing 7nm semiconductors.
GlobalFoundries also plans to invest billions of
dollars on its existing 14nm fab to develop the
means to design 7nm chips in the second half of
FY17. Japanese firm GMO plans to invest $90M
on building a data center to research 7nm, 5nm,
and 3.5nm ICs, which if successful will not only
drive demand for semiconductor manufacturers
for their chips, but will also increase demand for
KLAC’s inspection and metrology products.
3D NAND Flash Memory
3D NAND ICs is a type of memory flash card in which memory cells are designed vertically instead of using a traditional
floating gate. This architecture allows greater density, which can lower the cost per gigabyte, improves electrical use to
save power, boost reliability, and provide higher data write performance. While NAND flash memory is slow in reading
data, it is fast in writing. NAND flash memory has become popular for products that need large data storage or fast writing
capability such as MP3 music players and digital cameras. In addition, 3D NAND is used in smartphones as well as
enterprise data center. Semiconductors companies are competing in developing and mass-producing the more advanced
3D NAND 64L and 128L.The equivalent capacity of 3D NAND is estimated to increase from 1.2M to 2.012M at the end
of FY2019. This trend has a positive impact on KLA-Tencor as more sophisticated technology lead to increase in demand
for inspection equipment.
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 7 
FINANCIALS
Revenue
KLA-Tencor Corporation has seen a robust growth in
its revenues since the past two years. The company
reported revenue of $3.48B in FY17, which is a 17%
YoY increase from $2.98B reported in FY16. The
revenue is majorly divided into 2 segments that are
primarily responsible for the firm’s top line: product
and services. Products majorly deal with the
manufacturing and selling of inspection, metrology,
and lithography equipment that help other firms detect
and inspect impurities, test yields, etc. in
semiconductor, LED, nanoelectronics and aerospace
industries. On the other hand, the services are
engineering consulting services where the company
advises other firms on ways to increase their yields.
Product segment is responsible for 77.7% of the
revenue, and service is responsible for 22.3%. Among
the products, Defect inspection makes up 46% of the revenue and metrology makes up 26.4%. In terms of geography, in
FY17, KLAC’s 85% of revenue was international: Taiwan (32%), South Korea (20%), China (12%), etc. Moving forward,
we expect the revenues to go up to ~$3.9B in FY18 and grow further at slow rates beyond that. The estimated revenue is
~$4B for FY19 and ~$4.1B for FY20. This increase is expected from the growing use of semiconductor material in the
commonly used electronic devices like cell phones, computers, LEDs, and other high-tech machines in healthcare,
aerospace, and finance industries. Processing chips are at the heart of all computing devices, from running the machine
(logic chips) and displaying graphics (LEDs), to storing the data (3D NAND memory). The rising demand for such devices
calls for an increase in the production of component chips. Intel, Samsung and TSCM, few of the highly influential firms
in foundry business, depend highly on KLAC for improving the quality of their chips using different quality control
solutions. Samsung, KLAC’s largest customer (28% revenue), recently announced an increase in its capex for the next year
due to expanding demands. Likewise, China, the biggest chip consumer (55% of overall market share), has announced
plans of spending $5B within next year for 7/10nm foundry. We expect this increase in capex by China to bring in more
business to KLAC. The firm also had an unrealized revenue from FY17 due to backlog of ~$1.8B. Going forward, with
the combination of all of the aforementioned factors, the company is strongly positioned to increase its top-line.
Margins
KLA-Tencor Corporation has the highest margins
in the industry at the moment and they have been
expanding at a steady rate over the past 3 years. The
gross margins have been rising constantly over past
few years, since FY12. The company’s gross
margin in FY17 was 63.15%, which was better than
FY16 by ~190bps. Similarly, the net income
margins are also experiencing an upswing. The
present net income margin, as per FY17 was
26.8%, a 10% raise from FY15 value. The
increasing gross margins are due to the high
shipments and efficient new product execution
resulting from management’s healthy business
model. The EBITDA margins are also showing
equivalent trend, escalating at a robust pace. The
EBITDA margins shot up from 29.9% in FY14, to 38.8% in FY17, growing at 3-year CAGR of 9%. KLAC’s EBITDA
margins are also the strongest in the industry, due to its larger customer base and improved utilization levels. KLAC’s
diversified product mix and leadership position in quality solutions area of the industry, allows it to earn premium price
on its products and services, driving up the margins. This is a benefit it has over others, as it can compete on pricing during
down cycles and still make high profits. At the same time, it can also provide enough funding for its future investments,
and spend on R&D to ensure viability in this dynamically changing environment.
$2.8 $2.9
$3.5
$3.9
$4.1
$0
$1
$1
$2
$2
$3
$3
$4
$4
$5
FY15 FY16 FY17E FY18E FY19E
$(Billions)
Revenue Growth
0.0%
20.0%
40.0%
60.0%
80.0%
FY15 FY16 FY17 FY18E FY19E
Margins
Gross Margin EBITDA Margin Net Margin
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 8 
Earnings
KLA-Tencor Corp. has been experiencing immense
hike in it earnings per share. Company’s filed an EPS
of $5.93 in FY17. The reported EPS was above $4.63,
which was reported in FY16. The firm has been
beating its estimates for 10 straight quarters now and
it is strongly positioned for future beats. The
company has witnessed enormous growth since
FY14, when the EPS fell drastically due to bad
performance by the firm. However, EPS has been
growing at a 3-year CAGR of 15.6%, as metric went
from $3.55 to $5.9, from FY14 to FY17. A further
surge has been expected in the EPS value going
forward, with EPS estimated to be $7.11 and $7.43 in
FY18 and FY19, respectively. The underlying
argument behind this is the booming revenue and
increasing profitability of the firm, which will further
drive its net income.
Research & Development
KLA-Tencor Corporation were the first ones to introduce PDC and yield management solutions that help firms cut down
production defects to increase product efficiency. Their first device was an inspection device that reduced photomask
inspection time from 8 hours to 15 minutes. That marked the start of the PDC segment and KLAC has been on the top
ever since. KLAC has spent huge amounts on R&D, from $481M in FY16 to $526M in FY17. They are among the highest
spenders in R&D within the industry, and the best in the PDC space. They spend about 15% of their revenue on this,
which is highest in the industry, manifesting their dedication towards innovation. The technological world is moving fast,
with product life spam reducing rapidly. The change is an ongoing process, and KLAC is well equipped to deal with it.
Company’s large product mix which enhances their portfolio, helps them to serve larger range of customers. Increased
use of electrical devices calls drives the demand for memory chips, and the Moore’s law pressures the industry to decrease
the chip size and increase the number of transistors in order to cut costs and increase productivity. This forces the chip
makers to use PDC solutions like EUV Lithography inspection, reticle-defect reduction technique, metrology, etc. to
ensure high yields from its products like 3D NAND circuits, microprocessor chips, etc. Company reported $146.7M as
R&D expense in 1Q18 which is a record high. Company is very profitable with high gross margins and ROI ratio, which
allows them to keep up with such high R&D costs. They also have very high liquidity, with working capital of about
$3.11B, out of which $2.9B is cash on hand. This safeguards their R&D expenses during down cycle, ahead of competitors.
$2.97
$4.63
$5.93
$7.11 $7.43
$0.00
$1.00
$2.00
$3.00
$4.00
$5.00
$6.00
$7.00
$8.00
FY15 FY16 FY17 FY18E FY19E
EPS ($)
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 9 
Cash Flows/CapEx
KLAC’s free cash flow has been increasing
rapidly since FY15. The free cash flow
reported at the end of FY17 was $1041M,
which is almost double the amount reported in
FY15 i.e. $560M. The firm largely depends on
the cash flow from operations for liquidity.
The cash flow from operations has observed
tremendous growth, causing the free cash
flows to increase. The reported cash flow from
operations was $605.9M, $759.7M, and
$1079.7M in FY15, FY16, and FY17
respectively. The reasons driving the flow up
over the 3 years were, the increase in
collections by ~$850M due to higher
shipment, tax benefits of about $11M due to
change in accounting system, etc. KLAC’s
capital expenditure was considerably high in
FY14 because of increase in operations due to
acquisition. However, since the past two years
it has been declining. Its capex for FY17 was
reported $38.6M, but we expect it to rise over the next 2 years. The 1Q18 capex was $15M, which is a 109% growth from
1Q17. The estimated capex for FY18 is $59.2M, which is a solid increase from $38.6M reported in FY17. The rise is mainly
seen because of an expected increase in demand of its PDC products in memory space, as its customers like Samsung and
TSCM, are in-turn expected to increase capex and memory chip production (DRAM and 3D NAND).
Debt
KLA-Tencor Corp. has currently total outstanding debt balance of $2.93B, out of which $250M is the current and the rest
$2.52B in the form of long term debts. Roughly ~36% of the total is due by the end of FY19, and about ~60% of it is due
in FY25. The major portion of the debt was use for the company’s stock repurchase program and the acquisition of
Luminescent Technologies. Over the past 3 years, $0.81B have been spent on the buyback program, where management
decided to repurchase 5.7M shares. Company’s investment for the future growth, such as spending on R&D, production
of new products, expansion of service segment, etc. are partly responsible for the increase in debt. KLAC’s debt is relatively
higher than others in this industry, but due to competitive edge it possesses due to the strong position in the market, and
relatively high margins, we believe that this amount is a nominal one which doesn’t affect company’s healthy functioning
in any way.
Shareholder Returns
KLA-Tencor Corp. has been consistently returning
value to its customers by constant share repurchase
programs and regular dividend yields. The current
dividend yield is 2.3%, and it has been showing a
slow growing trend. The quarterly dividends have
gone up from $0.54 in 1Q17, to $0.59 in 1Q18,
which is a 9% YoY increase. The total amount
spent in FY17 was $335.4M ($0.54 per Q), which
was more compared to $324M in FY16 ($0.52 per
Q). The firm also paid a special dividend to long-
term stockholders in December 2014 of a total
$2.11B, where $16.50 dividend to those who
qualified for these dividends. KLAC’s current
payout ratio is 25.6%, and company plans to keep
on increasing its yield to reward the stockholders.
$560
$728
$1,041
$1,121 $1,097
$0
$200
$400
$600
$800
$1,000
$1,200
FY15 FY16 FY17 FY18E FY19E
$(MILLIONS)
Free Cash Flow (FCF)
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 10 
MANAGEMENT
Mr. Richard P. Wallace is currently the President, a board member, and the Chief Executive Officer at
KLA-Tencor Corporation. He has been at the firm for 29 years, starting as an application engineer in
1988. He went up the order to becoming the Chief Operating Officer in July 2005, and assumed his
current position on 1st January 2006. Currently, he also serves as a board member of NetApp, Inc. and
the Silicon Valley Leadership Group.
Mr. Bren Higgins is presently the Chief Financial officer at KLA-Tencor Corporation and the Executive
Vice President. He started his tenure at the firm back in 1999, beginning with the finance department.
He was later promoted to other positions including, group controller, Director of Finance, Vice
President of Finance, and Investor Relations. He was assigned his current role in 2013 which comprises
of company’s finance operations and strategy management.
Mr. Ben Tsai is the Chief Technology Officer and Executive Vice President-Corporate Alliances at
KLA-Tencor Corporation. He has been at the firm for over 25 years, rising through the ranks from
being a general manager at first, to the CTO in 1994. He has high experience in the field and have served
previously at many other firms like Tokyo Electric Ltd.; Ultratech, Inc.; and more. He possesses high
knowledge about the industry, as he has a doctorate in electrical engineering from UI-UC.
KLA-Tencor Corporation compensates the management with equity awards, short-term and long-term performance-
based incentives, yearly cash compensations, all this along with a fixed annual base salary. Management’s salaries depend
on their performance, which in turn depends on the company’s growth i.e. the revenue growth, the different business
segment growth, and such other metrics. This aligns their interests with that of the company, inspiring them to work even
better and harder, promoting company’s overall growth. Mr. Ricard Wallace, the CEO, was compensated with $14.6M in
FY16, which includes $0.9M base-salary and about $12.5M long-term compensation.
Insider Transactions: In the past 1 year, the KLAC stock has had 6 insider purchases of $25.55M, and 15 insider sales
worth $11.88M, yielding net activity of +$13.67M. Mr. Richard Wallace, the CEO, has consistently purchased company’s
shares in high volumes, illustrating the strong belief of the executive management team towards the KLAC’s future surge.
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 11 
VALUATION
Average 3-
Year NTM
P/E
NTM EPS Target Price
17.78x $126.59$7.12
TARGET PRICE
KLAC is currently trading at a LTM P/E multiple of 28.06x, representing a
discount of 13.6% to its 3-year average NTM P/E multiple of 32.49x. Using
the company’s NTM EPS projections of $3.41 and the target NTM P/E
multiple of 32.49x, the investment will reach a target price of $126.59,
representing a 20.90% return.
Peer Group Analysis:
Applied Materials (AMAT) is a California based semiconductors equipment company that engages in developing,
manufacturing, marketing and servicing of semiconductor wafer fabrication equipment and related spare parts to
semiconductor wafer and integrated circuit manufacturers, flat panel liquid crystal displays, solar photovoltaic cells
and modules and other electronic devices manufacturers across the globe.
Lam Research (LRCX) is a designer, manufacturer, marketer and server of semiconductor processing equipment.
The Company offers thin film deposition products, plasma etch as well as single- wafer clean to world’s leading
semiconductors manufacturers such as Micron Technology Inc., Samsung Electronics and Taiwan Semiconductor
Manufacturing Company.
ASML Holding NV (ASML) is a Dutch semiconductors equipment company that develops, produces, markets,
sells, and services advanced semiconductor equipment systems with a focus on lithography related systems primarily
in the Netherlands, the United States, and Asia.
Equity
Value
Enterprise
Value
Revenue
YoY
Growth
EBITDA
EBITDA
Margin
Net
Income
Profit
Margin
ROE ROA ROIC
Debt to
EBITDA
Debt to
Equity
Interest
Coverage
ASML Holding - - - - - - - - - - - - - -
Applied Materials - 62,472 8,400 32.9% 2,694 32.1% 2,000 23.8% 21.2% 12.4% 15.9% 1.1x 33.8% 29.8x
Lam Research 48,237 46,309 13,865 40.1% 3,949 28.5% 3,062 22.1% 39.4% 18.7% 27.1% 1.3x 46.3% 14.3x
Median 28,656 25,044 8,859 49.7% 2,591 29.2% 2,025 22.9% 30.1% 16.2% 20.1% 1.0x 42.0% 18.2x
Average 38,446 46,309 8,859 40.1% 2,694 29.2% 2,025 22.9% 30.1% 16.2% 20.1% 1.1x 42.0% 18.2x
KLA - Tencor 38,446 44,608 10,375 40.9% 3,078 29.9% 2,362 22.9% 30.2% 15.7% 21.0% 1.1x 40.7% 20.7x
Dycom Industries 16,611 16,329 3,699 19.6% 1,447 39.1% 1,029 27.8% 91.6% 19.3% 28.2% 1.9x 220.9% 10.6x
Current
Price
Consensus
Price Target
Dividend
Yield
EV/
Sales
EV/
EBITDA
NTM EV/
EBITDA
P/E
5yr Mean
P/E
NTM
P/E
NTM
P/S
WACC
Cost of
Debt
Cost of
Equity
Beta (β)
ASML Holding - - - - - - - - - - - - - -
Applied Materials $179.60 $192.40 0.6% - 23.2x 22.4x - - 28.4x 6.9x 12.4% 0.6% 13.0% 1.31
Lam Research $56.36 $59.84 0.7% 4.2x 11.7x 11.8x 18.9x 21.6x 15.4x 3.8x 12.0% 2.9% 13.0% 1.74
Median $207.39 $226.06 0.9% 3.4x 9.7x 9.0x 19.3x 21.9x 13.9x 3.2x 10.8% 2.8% 11.5% 1.40
Average 0.7% 3.8x 11.7x 11.8x 19.1x 21.8x 15.4x 3.8x 12.0% 2.8% 13.0% 1.40
KLA - Tencor 0.7% 3.8x 14.9x 14.4x 19.1x 21.8x 19.2x 4.6x 11.7% 2.1% 12.5% 1.48
Dycom Industries $102.44 $111.91 2.3% 4.3x 11.3x 10.0x 15.5x 17.5x 14.4x 4.1x 7.9% 2.7% 8.8% 0.86
Market Valuation LTM Financials Return on Investment Leverage Ratios
Price Enterprise Multiples Equity Multiples Cost of Capital
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 12 
Undervaluation
KLAC is currently trading at a 9.4% discount to its average 3-year historical P/E multiple of 17.78x. The company is also
undervalued in terms of its average 4- and 5-year forward P/E multiple, its 3-, 4-, and 5-year historical P/E multiple, and
a 3-year forward spread against its comp group. Investors punished KLAC’s stock after the sixth straight decrease of U.S.
Semiconductor capacity utilization rates in end of FY14, its announcement of job cuts in mid FY15, and after the
cancellation of the mega merger deal. However, investor fears and uncertainty are unwarranted given the company’s clear
leadership position in the fast-growing WFE market in China, upcoming robust product pipeline catalysts, as well as the
company’s superior top- and bottom-line performance. On April 26th 2016, China announced its 13th 5-year plan to
radically transform itself, specifically in the semiconductor industry where it set high yet pragmatic goal for the next five
years. The systematic innovation by the semiconductor manufacturers every 1-2 years will lead to both smaller and more
intricate chips, which will have a higher processing power and storage capacity, according to Moore’s Law. With this
continuous progress of the industry, KLAC is presented with a great opportunity as its’ loyal customers will require
additional equipment for inspection and measurement of the contents of the chips, which in turn enables them to develop
and manufacture advanced semiconductor devices in accordance with the development of the new techniques and
architectures. Via the development of new process control and yield management tools, the company will enable its
customers to more effectively and efficiently employ these capital intensive facilities and improve their customers’ return
on investment, aiding KLAC to both maintain its customer loyalty and potentially increase the company’s market share.
The ability of the company to keep innovating with the expansion of cutting edge technology helps its customers effectively
respond to the challenges presented by the shrinking of device sizes, the evolution of new product materials, and
demanding lithography processes. With the company’s stock having taken such a dramatic dive, a unique and compelling
buying opportunity has presented itself as fears that were the cause of the stock’s poor performance are overblown. Being
the market leader in the process design control market and, along with its promising pipeline of innovative process control
and yield management tools, and expected increases in capital expenditures from both the government of China and its
primary customers, will serve to provide multiple expansion and drive the company to fair value of $126.59 and a 17.78x
historical P/E multiple, yielding a total return of 20.9%.
3-Year Average P/E
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 13 
APPENDIX
Exhibit I: KLAC 3-YR PE Chart
Exhibit IV: Chips Manufacture Process
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 14 
Exhibit V: Wafer Fabrications Process Steps
Exhibit VI: 2D/3D NAND market
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 15 
Exhibit VII: Flash- 3D NAND 2.012M
Exhibit VIII: Semiconductor Capital Spending and Capital Intensity
 
 
Fall 2017 
 
 
T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 16 
DISCLAIMER
This report is prepared strictly for educational purposes and should not be used as an actual investment guide.
The forward-looking statements contained within are simply the author’s opinions. The writer does not own any
KLA-Tencor, Corp. Stock.
TUIA STATEMENT
Established in honor of Professor William C. Dunkelberg, former Dean of the Fox School of Business, for his
tireless dedication to educating students in “real-world” principles of economics and business, the Temple
University Fox Fund will ensure that future generations of students have exposure to a challenging, practical
learning experience. Managed by Fox School of Business graduate and undergraduate students with oversight
from its Board of Directors, the Temple University Fox Fund’s goals are threefold:
 Provide students with hands-on investment management experience
 Enable students to work in a team-based setting in consultation with investment professionals.
 Connect student participants with nationally recognized money managers and financial institutions
Earnings from the fund will be reinvested net of fund expenses, which are primarily trading and auditing costs
and partial scholarships for student participants.

More Related Content

What's hot

Flat Panel Displays
Flat Panel DisplaysFlat Panel Displays
Flat Panel DisplaysJeffrey Hart
 
A step forward to product lifecycle
A step forward to product lifecycleA step forward to product lifecycle
A step forward to product lifecycleCORETECHNOLOGIE
 
20130416 phönix roadshow presentation_final_update
20130416 phönix roadshow presentation_final_update20130416 phönix roadshow presentation_final_update
20130416 phönix roadshow presentation_final_updateAT&S_IR
 
Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)
Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)
Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)system_plus
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020Yole Developpement
 
Patent investigation on LED phosphors and down-converters
Patent investigation on LED phosphors and down-convertersPatent investigation on LED phosphors and down-converters
Patent investigation on LED phosphors and down-convertersKnowmade
 

What's hot (6)

Flat Panel Displays
Flat Panel DisplaysFlat Panel Displays
Flat Panel Displays
 
A step forward to product lifecycle
A step forward to product lifecycleA step forward to product lifecycle
A step forward to product lifecycle
 
20130416 phönix roadshow presentation_final_update
20130416 phönix roadshow presentation_final_update20130416 phönix roadshow presentation_final_update
20130416 phönix roadshow presentation_final_update
 
Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)
Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)
Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020
 
Patent investigation on LED phosphors and down-converters
Patent investigation on LED phosphors and down-convertersPatent investigation on LED phosphors and down-converters
Patent investigation on LED phosphors and down-converters
 

Similar to Klac icr - Pitch

Adroitec , Making Engineering tasks more connected and collaborated with Auto...
Adroitec , Making Engineering tasks more connected and collaborated with Auto...Adroitec , Making Engineering tasks more connected and collaborated with Auto...
Adroitec , Making Engineering tasks more connected and collaborated with Auto...Kumarsamyraja K R
 
TSMC Equity QUMMIF Report
TSMC Equity QUMMIF ReportTSMC Equity QUMMIF Report
TSMC Equity QUMMIF ReportGovind Nair
 
Tech Mahindra - Case Study | Zinnov Zones
Tech Mahindra - Case Study | Zinnov ZonesTech Mahindra - Case Study | Zinnov Zones
Tech Mahindra - Case Study | Zinnov ZonesZinnov
 
Glass Substrate Semiconductor Market Report 2021 Global Industry Size, Segmen...
Glass Substrate Semiconductor Market Report 2021 Global Industry Size, Segmen...Glass Substrate Semiconductor Market Report 2021 Global Industry Size, Segmen...
Glass Substrate Semiconductor Market Report 2021 Global Industry Size, Segmen...OnkarPatil57
 
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement	Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement Yole Developpement
 
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...Yole Developpement
 
Quantum Technology Overview
Quantum Technology Overview Quantum Technology Overview
Quantum Technology Overview Ketan Talele
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Yole Developpement
 
Packaged ic component inspector market
Packaged ic component inspector marketPackaged ic component inspector market
Packaged ic component inspector marketHarshalBamble
 
nippon semiconductor
nippon semiconductornippon semiconductor
nippon semiconductorvikas gupta
 
Emerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole DéveloppementEmerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole DéveloppementYole Developpement
 
AT&S Company Presentation November 2014
AT&S Company Presentation November 2014AT&S Company Presentation November 2014
AT&S Company Presentation November 2014AT&S_IR
 
Microdisplays Market - Witness vigorous expansion by 2025
Microdisplays Market - Witness vigorous expansion by 2025Microdisplays Market - Witness vigorous expansion by 2025
Microdisplays Market - Witness vigorous expansion by 2025Arushi00
 
Skywork Solutions INC.
Skywork Solutions INC.Skywork Solutions INC.
Skywork Solutions INC.Levi Saada
 
AT&S Company Presentation April 2016
AT&S Company Presentation April 2016AT&S Company Presentation April 2016
AT&S Company Presentation April 2016AT&S_IR
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleYole Developpement
 
Page 66-69_Event Report_TIMTOS
Page 66-69_Event Report_TIMTOSPage 66-69_Event Report_TIMTOS
Page 66-69_Event Report_TIMTOSMegha Roy
 
AT&S Company Presentation January 2016
AT&S Company Presentation January 2016AT&S Company Presentation January 2016
AT&S Company Presentation January 2016AT&S_IR
 

Similar to Klac icr - Pitch (20)

Adroitec , Making Engineering tasks more connected and collaborated with Auto...
Adroitec , Making Engineering tasks more connected and collaborated with Auto...Adroitec , Making Engineering tasks more connected and collaborated with Auto...
Adroitec , Making Engineering tasks more connected and collaborated with Auto...
 
TSMC Equity QUMMIF Report
TSMC Equity QUMMIF ReportTSMC Equity QUMMIF Report
TSMC Equity QUMMIF Report
 
Tech Mahindra - Case Study | Zinnov Zones
Tech Mahindra - Case Study | Zinnov ZonesTech Mahindra - Case Study | Zinnov Zones
Tech Mahindra - Case Study | Zinnov Zones
 
Glass Substrate Semiconductor Market Report 2021 Global Industry Size, Segmen...
Glass Substrate Semiconductor Market Report 2021 Global Industry Size, Segmen...Glass Substrate Semiconductor Market Report 2021 Global Industry Size, Segmen...
Glass Substrate Semiconductor Market Report 2021 Global Industry Size, Segmen...
 
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement	Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
 
INGENIUS_XIMB_Iron and Steel
INGENIUS_XIMB_Iron and SteelINGENIUS_XIMB_Iron and Steel
INGENIUS_XIMB_Iron and Steel
 
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
 
Quantum Technology Overview
Quantum Technology Overview Quantum Technology Overview
Quantum Technology Overview
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
 
Packaged ic component inspector market
Packaged ic component inspector marketPackaged ic component inspector market
Packaged ic component inspector market
 
nippon semiconductor
nippon semiconductornippon semiconductor
nippon semiconductor
 
Emerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole DéveloppementEmerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole Développement
 
Savantas Technology Policy R.4
Savantas Technology Policy R.4Savantas Technology Policy R.4
Savantas Technology Policy R.4
 
AT&S Company Presentation November 2014
AT&S Company Presentation November 2014AT&S Company Presentation November 2014
AT&S Company Presentation November 2014
 
Microdisplays Market - Witness vigorous expansion by 2025
Microdisplays Market - Witness vigorous expansion by 2025Microdisplays Market - Witness vigorous expansion by 2025
Microdisplays Market - Witness vigorous expansion by 2025
 
Skywork Solutions INC.
Skywork Solutions INC.Skywork Solutions INC.
Skywork Solutions INC.
 
AT&S Company Presentation April 2016
AT&S Company Presentation April 2016AT&S Company Presentation April 2016
AT&S Company Presentation April 2016
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - Sample
 
Page 66-69_Event Report_TIMTOS
Page 66-69_Event Report_TIMTOSPage 66-69_Event Report_TIMTOS
Page 66-69_Event Report_TIMTOS
 
AT&S Company Presentation January 2016
AT&S Company Presentation January 2016AT&S Company Presentation January 2016
AT&S Company Presentation January 2016
 

Recently uploaded

Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions
 
Unlocking the Potential of the Cloud for IBM Power Systems
Unlocking the Potential of the Cloud for IBM Power SystemsUnlocking the Potential of the Cloud for IBM Power Systems
Unlocking the Potential of the Cloud for IBM Power SystemsPrecisely
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersThousandEyes
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesSinan KOZAK
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksSoftradix Technologies
 
APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDGMarianaLemus7
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Neo4j
 
Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptxLBM Solutions
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 

Recently uploaded (20)

Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping Elbows
 
Unlocking the Potential of the Cloud for IBM Power Systems
Unlocking the Potential of the Cloud for IBM Power SystemsUnlocking the Potential of the Cloud for IBM Power Systems
Unlocking the Potential of the Cloud for IBM Power Systems
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen Frames
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other Frameworks
 
APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDG
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024
 
Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptx
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Vulnerability_Management_GRC_by Sohang Sengupta.pptx
Vulnerability_Management_GRC_by Sohang Sengupta.pptxVulnerability_Management_GRC_by Sohang Sengupta.pptx
Vulnerability_Management_GRC_by Sohang Sengupta.pptx
 

Klac icr - Pitch

  • 1. INITIATING COVERAGE REPORT Temple University Investment Association The Fox Fund November 13, 2017 Jay Patel: Lead Analyst jay.s.patel@temple.edu Manav Patel: Associate Analyst manavpatel@temple.edu Amy Nguyen: Associate Analyst amynguyen@temple.edu COMPANY OVERVIEW KLA- Tencor Corp (NASDAQ: KLAC), formed in April 1997 through the merger of KLA Instruments (KLA) and Tencor Instruments (Tencor), is a California based process control and yield management solutions company. The Company provides defect inspection tools and metrology equipment solutions to customers in semiconductor and related nanoelectronics industries, as well as other high technology industries, such as the advanced packaging, light emitting diode (“LED”), power devices, compound semiconductor, and data storage industries. Its customers include the world’s leading semiconductor manufacturing companies such as Samsung Electronics Co., Ltd and Taiwan Semiconductor Manufacturing Company Limited. KLA-Tencor generates 85% of its revenue from outside of the U.S, with a FY17 revenue breakdown by geography as follow: Taiwan (32%), Korea (20%), North America (14%), China (12%), Japan (10%), Europe & Israel (8%), and Rest of Asia (4%). KLAC reports 2Q18 earnings on January 1, 2018 and ends fiscal year on June 30th. INVESTMENT THESIS KLAC is currently trading at a 9.4% discount to its average 3- year historical P/E multiple. Investors sent the stock tumbling ~22% following the announcement of ~210 job cuts, and another ~13% following the cancellation of the mega-merger deal between KLAC & LRCX. KLAC dominates the PDC segment of the semiconductor equipment with a market share of ~52%, and an array of 20,000 products. Due to the company’s industry leading products, it is able to charge a premium, as they are technically advanced than their competitors. As chipmakers continue to pursue Moore’s Law, smaller chips are required to meet specific precision requirements, which in turn will further increase the demand for KLAC’s advanced PDC products. The heavy increase in the capital expenditure allocation towards the semiconductor industry from the chip manufacturers in support of 3D NAND and 10nm related endeavors, also presents the company with a growth opportunity. The increase in the intricacies of the technology will lead to a higher demand for KLAC’s flagship products in the PDC market segment of the semiconductor equipment industry. Hence, the expansion of the Wafer Fabrication Equipment market in China, and the advancement of the EUV lithography technology in tandem with KLAC’s strong relationship with its customers, strongly positions the company moving forward. The aforementioned catalysts, along with KLAC’s strong fundamentals, will provide multiple expansion and drive the company to fair value of $126.59 and a 17.78x historical P/E multiple, yielding a total return of 20.90%. TECHNOLOGY:SEMICONDUCTOREQUIPMENT KLA-Tencor, Corp. Exchange: NYSE Ticker: KLAC Target Price: $126.59 Sector Outperform Recommendation: BUY Key Statistics: values in mm except per share Price $102.44 52 Week Low $74.2 Return 20.9% 52 Week High $110.01 Shares O/S 156.7 Dividend Yield 2.3% Market Cap $16,053 Enterprise Value $15,771 One-Year Price Graph Earnings/Revenue Surprise History: Quarters EPS Revenue Δ Price 2Q17 8.26% 4.38% 3.50% 3Q17 4.38% 2.03% (5.18%) 4Q17 2.69% 1.60% (5.53%) 1Q18 9.22% 2.34% (0.72%) Earnings Projections: Fiscal Year Q1 Q2 Q3 Q4 Total 2015 0.47 0.52 0.76 0.92 2.67 2016 0.57 0.86 0.98 1.42 3.82 2017 1.04 1.40 1.55 1.60 5.59 2018e 1.65 1.74e 1.77e 1.88e 7.05e All prices current at end of previous trading sessions from date of report. Data is sourced from local exchanges via FactSet, Bloomberg and other vendors. The Fox Fund does and seeks to do business with companies covered in its research reports.
  • 2.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 2  SEGMENT OVERVIEW Wafer Inspection (46% FY2017 Revenue) Front-end Wafer KLA-Tencor offers front end wafer inspection tools for memory, foundry, and logic chips customers. Their products include patterned and unpatterned wafer defect inspection and qualification tools such as 39xx, 29xx, Puma Family and 8 series which could identify particles and pattern defects on the front surface, back surface, and edge of the wafer, therefore enabling engineers to detect and monitor critical yield excursions. The defect data found is then compiled and reduced to relevant root-cause and yield-analysis information by the Company’s suite of data management tools including Klarity Defect, Klarity ACE, Klarity SSA, and Klarity Bitmap. Wafer Manufacturing KLA-Tencor ’s wafer manufacturing equipment and tools include wafer manufacturing inspection Surfscan SPx, wafer shape quality review products that can capture high resolution images of the defects that inspection tools identify such as eDR7200 Series, as well as metrology and data management systems. Patterning (26% FY2017 Revenue) Patterning uses the process of lithography and optical masks to print patterns that guide the deposition or removal of material from the wafer at specific steps in the device fabrication process. Lithography is the process in which ultraviolet light is exposed to the desired IC patterns onto a semiconductor wafer. KLA-Tencor’s innovative 5D Patterning Control Solution™ drives optimal patterning through the characterization, optimization and monitoring of processes inside and outside the lithography module. In addition, to help customers to monitor the effect of the process environment on production wafers, KLA-Tencor offers many Lithography Process Monitoring products, including ScannerTemp, SensArray 1840 Rev A, SensArray 1850, Integrated Wafer, and Base Station 300/300Z. Moreover, the Company provides Lithography Modeling and Simulation Software (PROLITH) and Lithography Optimization Tools (ProDATA) to help Researchers at advanced IC manufacturers, stepper companies, track companies, and material providers explore critical-feature designs, manufacturability and process-limited yield of proposed lithographic technologies without the time and expense of printing hundreds of test wafers. Patterning revenues also include revenue gained from Reticle and Blank Manufacturing products such as Teron 600 Series, FlashScan 200 Series, as well as LMS IPRO Series Global Services and Support (26% FY2017 Revenue) KLA- Tencor provides services to customers in the U.S and other countries including Belgium, China, Germany, Israel, Japan, Singapore, Korea and Taiwan through subsidiary and branches. The company generated revenue by providing engineering services, technical supports and knowledge management systems for customers through maintenance contracts, billable time and services calls made after the expiration of warranty. MOATS Leaders in PDC space: KLAC was the first one to introduce PDC solutions and have diverse range of superior quality products for patterning, WFE, etc. Such vast product mix and a classy portfolio helps build customer loyalty and maintain its high market share. Semiconductor industry is at the center of the information technology sector, and the tremendous advancements observed in the field of technology over the years, casts a shadow of growth over the semiconductor industry. People are always looking for better quality products and compelling firms to take TQM approach which requires firms to employee quality control solutions. Supplying products that directly aids such approach, KLAC is benefitted by this increasing demand for higher quality products, which guides their top-line growth. Innovative Edge: KLAC’s R&D spending is one of the highest in the industry, and it spends about ~15% of its revenue on R&D which is above its competitors AMAT, LAM Research, Hitachi, etc. Creativity and innovation are the top market trends, and companies that bring new things to customers are valued above others. The constant pressure to deliver ingenious material have seen increase in the variety of gears the market has to offer, and have reduces product life span. Semiconductors make the core of roomful appliances and they have to keep improving to be at par with the new innovation entering the markets. We are convinced that the successful investment in R&D, recruitment of talented individuals that thrive for improvement, and its expertise in PDC space help them drive innovation in their segment. Availability of large quantity of customer produced at its disposal that points to common problems its customers face guides them in the necessary direction, and help them to keep on improving and providing new/better products to its customers.
  • 3.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 3  INDUSTRY OVERVIEW Semiconductor Equipment Industry The worldwide sales of semiconductor manufacturing equipment are projected to increase 19.8 percent to total $49.4 billion in FY17, with a 7.7% expected growth in FY18. The wafer processing equipment segment is forecasted to increase by 21.7 percent in FY18 to total $39.8 billion while the other segments, which includes fab facilities equipment, wafer manufacturing, as well as mask/reticle equipment, are expected to increase 25.6 percent to total $2.3 billion. The assembly and packaging equipment segment is projected to grow by 12.8 percent to $3.4 billion in FY17 while semiconductor test equipment is expected to increase by 6.4 percent, to a total of $3.9 billion. The increase in sale is driven by the continuing spending on advanced memory equipment for 3D NAND flash memory card, the demand for certain ICs driven by the Internet of Things, as well as the WFE growth in China market. In addition, the introduction of EUV lithography is expected to enable the development of 10nm and 7nm chips, revolutionizing the scope and capabilities of the semiconductor industry. Memory Equipment As consumers are demanding more sophisticated memory chips to satisfy their data and consumption needs, semiconductor manufacturers are trying their best to improve their technology. These companies are developing next generation 3D NAND products such as 64L and 128L. Samsung, Western Digital, Toshiba, Intel, and Micron have already launched CS or mass-products of 3D NAND 64L on the market. SK Hynix also showed its 72L NAND die as a CS product. For n+1 generation with 96L or 128L, the two-stacked cell array architecture for 3D NAND would be adopted starting in 2018. Micron/Intel will keep its own FG based 3D NAND cell structure for the next generation. Regarding DRAM, the infrastructure of n+1 generation DRAM with 15nm or 16nm node will be carried out in 2018, leading to a surge in demand for ICs equipment. The spur in data storage and connectivity needs as a result of IoT, combined with the global demand for smartphones and the ongoing adoption of solid-state devices which require 3D NAND flash memory card and DRAM is primarily driving the demand for memory chips. The spending on memory equipment is expected to increase at a rate of 10-15% in FY18. EUV Lithography Lithography is the process in which ultraviolet light is exposed to the desired IC patterns onto a semiconductor wafer. The qualification of early EUV lithography processes and equipment allows the use of EUV light of extremely short wavelength of 13.5 nm, enabling the long awaited production of 10mn and 7mn chips by semiconductors companies such as Intel and Samsung. In early and mid-FY17, several semiconductor equipment companies such as KLA - Tencor and ASML have introduced early version of EUV lithography equipment. RISKS: Revenue concentrated among a few customers: KLAC’s large portion of the revenue of the firm is dependent on a few customers in the semiconductor region, i.e. Samsung Electronics (28.02%), Taiwan Semiconductor (9.47%), and SK Hynix (9.12%). We believe KLAC’s uneven distribution of revenue, where only a few number of customers are responsible for a large portion of the revenue, is a risk moving forward. As these customers are in the cyclical semiconductor industry, a decrease in demand for their products during the down cycle can lead to a muted demand for KLAC’s products, leading to negative ramifications on company’s revenue and margins. Reduction in Capital Expenditure by customers: The products that KLAC supplies are heavy-capital equipment that are needed by other firms in their production belt to help them increase/maintain their product quality. Firms dealing with processes like fabrication, lithography, etc. in their production chain need these machines to increase production efficiency, and spend a huge amount of their capital to acquire them. Over the years, these machineries have become remarkably enduring and work without problem for a long time. In our opinion, this can be a risk down the line as companies that already have invested once in these of products won’t see the need to invest again, unless forced due to technological change which formulates the need of newer equipment, or an increase in production that leads to increase in production units. In such a situation, companies will want to invest in other previously unaddressed areas, ceasing further expenditure on PDC and yield management solutions. This can be a huge hit for KLAC as they majorly deal in this segment.
  • 4.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 4  CATALYSTS Wafer Fabrication Equipment Plants Growth in China As semiconductors are the foundational enabling technology for both the advanced and basic electronics in today’s modern age, the rapidly growing country – China – is strongly positioned to achieve its goal of reducing the amount of imported chips, and increasing the production of chips nationally. Semiconductors are manufactured via one of the most advanced and intricate processes, involving an average of 500 individual process steps. Two basic stages in the production process are: front end and back end. The former involves materials preparation and wafer processing, while the latter involves the assembly, packaging, and final testing of operations. Due to the complexity of the process, manufacturers heavily depend on manufacturing inspection products, which inspect and measure the wafer and its individual circuits for size distortions, and unwanted particles. KLAC is the leading semiconductor equipment company in the process control market, with a massive market share of 52.1%; Applied Materials, which has the second highest market share, covers merely 11.8% of the process control market. Given China’s emerging middle class of approximately 400 million people who are rapidly integrating rudimentary technology such as: cell phones, TVs, wearables, etc. in their daily tasks, companies such as – Samsung, Intel, SK Hynix, and Taiwan Semiconductor Manufacturing are building new wafer fabrication facilities (fabs), as they see the opportunity within and outside the Chinese market. Currently, building a fab can cost between $3B - $8B, depending on the planned capacity of the chip manufacturer. With more than two dozen new fab construction projections underway, the wafer fabrication equipment (WFE) market is conservatively expected to increase from $11B in FY18 to $18B by FY20, growing at CAGR of 7%. Driven by the content increases on smartphones, and a robust growth in Internet of Things, China’s 300mm-equalvent output of chips is forecasted to more than triple, from about 400,000 wafer starts per month (wspm) to 1.4M wspm by FY20. KLAC’s top three customers – Samsung, Taiwan Semiconductor (TSMC), and SK Hynix – have all invested in the nation’s mission, as they expand their production of 3D NAND Flash, Foundry, and DRAM, respectively. Samsung’s initiative to invest $7B in China’s fab to expand its 3D NAND Flash production, is estimated to increase its product sales from $2.8B to $6.1B; TSMC’s initiative in expanding its production of Foundry will more than double its product sales from $0.64B to $1.5B; SK Hynix’s initiative in expanding its production of DRAM will also increase its product sales from $3.7B to $5.5B. Considering these projections, and KLAC’s considerable market share of ~52% in the PDC market, our team believes that the company’s top-line will increase by approximately $3B by FY20, assuming the company’s market share remains stable; regarding the bear case, if KLAC’s market share drops to 40%, the company will still its top-line rise by $2.4B by FY20. KLAC’s top customer – Samsung – announced in its most recent earnings call that it will be increasing its capital expenditure allocation towards semiconductor manufacturing innovations, specifically in the realm of memory – 3D NAND and DRAM, to $26.4B, a whopping 123% increase from 2016. In comparison, the company’s other primary customers, Intel and TSMC, are also increasing their capital expenditure allocations to $11.5B and $10.8B, respectively. This increase in capex from all of the company’s major customers not only bolsters the argument that huge growth is expected in the realm of memory chips, but also helps substantiate the fact that China is on track of achieving its goal of producing more than 40% of the semiconductor chips it consumes on its own land. Going forward, with the combination of the heavy increases in capex from KLAC’s top customers and both the material growth and progress of fabs in China, KLAC is robustly positioned to take full advantage of its awaiting opportunities, as it will not only provide its products and services to its existing loyal customers, but will also expand its market segment by providing to the local customers.
  • 5.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 5  Strong Portfolio with New Product Launches KLA-Tencor Corporation has a diverse portfolio that includes PDC and yield management solution products for different industries that require semiconductor material as a component or in its raw materials. The offerings mainly consist of defect inspection, metrology, and lithography, which are required in all types of semiconductor appliances. Each offering serves its own unique problem but requires a different machine based on the industry the company is serving in, following processes that are specific to their industry. Defect inspection products are those used to detect contamination of the semiconductor wafer (base foundation of an IC) due to foreign particle which affects the performance of the circuit. Metrology devices are used to measure values of wafer size, space between different component, length of the wires, etc. and see if they align with the standard pre-determined values. Lithography is a 2-step process of transferring the circuit pattern to a “mask” or “reticle blank”, from which it is then transferred to the wafer. These processes are highly intricate as they involve components which are extremely minute in size, sometimes not even visibly with human eyes and can be easily harmed by minute earthly particles. These devices are basic necessities in making LEDs (light emitting diode), foundries that make memory and logic chips (3D NAND, DRAM, etc.), artificial intelligence, communication devices, aerospace devices and electric-automobile appliances, etc. for which KLAC provides quality PDC solutions. We believe that this constantly increasing demand provide KLAC with huge growth scope, and being industry leader in this segment KLAC can leverage this chance to improve sales further. Nowadays, everybody is in contact with some or other kind of electrical device all the time, making technology a precondition for life. This signifies the importance of technology and hence the semiconductor components that bring them to life. The highest growth in the technology sector is seen in the computing devices which work on semiconductor chips like 3D NAND and DRAM for storage, and logic chips for logical processing. The chip markets are growing at a tremendous rate, memory and logic chip markets are both expected to boom in the coming years, and many new foundries are being built to support this growth. As demand for chips is growing, there is a pressure to improve its processing. Chip manufacturers responded to this by applying by the Moore’s Law, which states that the number of transistors on a circuit doubles every 18 months. Chip manufacturers reduced the size of transistors and implanted more number of them in the circuit, this helped in increasing processing speed and cut costs. As the size of these chips and its components decreases, the lithography process becomes even harder to execute. Chip manufacturers are experiencing high defects while patterning the circuit designs onto the circuits. Such small components require thin and sharp lines in its circuit design, and any variation from its standard requirement can lead to decrease in efficiency of the chip’s functioning. This creates room for KLAC to raise its top-line, an opportunity which we believe they will cherish using their newly launched product FlashScan Series 200. FlashScan Series 200 is a reticle blank inspection system that is designed to improve optical or extreme ultraviolet (EUV) lithography by reducing the defects of different kinds, such as- bare substrates, absorber films, photoresist coating. During the first step of patterning which involves transferring the design onto the blank, the design might have defects related to the size and the dimensions of the pattern drawn, leading to inefficiencies. The FlashScan system has higher throughput and sensitivity than is better than the other similar systems by its competitors. Additionally, FlashScan use assistance from laser scattering system from wafer defect inspection portfolio to enhance its speed requirements to keep up with optical and EUV blanks. This new system is estimated to generate about $150M-$250M each year, for the next three years. Such high tech development from KLAC’s using its R&D helps them stay above the rest of the industry, while tying different products from its strong and diverse portfolio to each other, helps them make their products even better, outperforming competition in this segment. Regarding the aforementioned growth prospect in the 10nm & 7nm product innovation, our team strongly believes FlashScan 200 Series having a material impact on the company’s financials, increasing not only its top-line by ~6% each year, but also bottom-line as well.
  • 6.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 6  POSITIVES The Internet of Things Internet of Things (IoT) is the process in which physical devices are embedded with internet and connected to the cloud, allowing them to send and receive data efficiently and conveniently. The proliferation of IoT is driving the generation of new digital data and the need of connectivity. It is estimated that in 2020, there will be approximately 20 to 50 billion connected devices, and digital data is forecasted to exceed 44 zettabytes (about 10 times today). KLA- Tencor is well positioned to benefit from these changes, as the increase in connectivity demands smaller chips with precise specifications, which in turn increase the demand for the Company’s highly precise PCD products. As the overwhelming majority of semiconductor devices used to enable IoTs end markets such as Augmented Reality, Virtual Reality, Artificial Intelligence, cloud storage, Smart Automotive (driver assistance and autonomous), Smart Manufacturing, and Smart MedTech are extremely valuable, the demand for smaller wafer diameter fabs (200mm and smaller) increases. This increase in demand can be fundamentally be explained by the Moore’s Law phenomenon. 200mm products fall into three categories: chips well suited for 200mm, chips that need to remain at 200mm but require more advanced processes at that wafer size such as display drivers and controllers, and chips suited between 200mm and 300mm. While the demand for 200mm equipment increases rapidly, the supply is insufficient. Semiconductor manufacturing firms can purchase 200mm equipment through either third-party seller (used equipment) or original equipment makers. However, third-party supply is limited as there are only 600 to 720 pieces of 200mm used equipment in inventory, while the demand from chipmakers is somewhere between 3,000 to 5,000. To meet the industry standards for 200mm demand, KLA- Tencor provides refurbished equipment at an economical yet profitable price for the company, and has introduced several “remanufactured” systems in the market, such as a film metrology system (F5X), a bare wafer inspection tool (Surfscan SP2), and brightfield (2367) and darkfield (9150) wafer inspection systems. Transitions to 10nm & 7nm Integrated Circuits (ICs) Semiconductor companies are transitioning from the existing 14nm ICs to a more compact technology such as 10nm and 7nm by producing chips with increasingly smaller linewidths - the physical dimensions of the smallest features in a circuit pattern - to boost density and increase the number of transistors per chips, which in turn increase the processing speed of the chip. Leading semiconductor manufacturing companies have made numerous announcements regarding their investments in developing such as technology. Intel announced on February 2017 that it would invest $7B on a new 7nm fab in Arizona. In March 2017, Samsung announced to invest $6.98B to improve its high-tech semiconductor production lines by making additional investments to its 10- nanometer production lines and build new facilities capable of producing 7nm semiconductors. GlobalFoundries also plans to invest billions of dollars on its existing 14nm fab to develop the means to design 7nm chips in the second half of FY17. Japanese firm GMO plans to invest $90M on building a data center to research 7nm, 5nm, and 3.5nm ICs, which if successful will not only drive demand for semiconductor manufacturers for their chips, but will also increase demand for KLAC’s inspection and metrology products. 3D NAND Flash Memory 3D NAND ICs is a type of memory flash card in which memory cells are designed vertically instead of using a traditional floating gate. This architecture allows greater density, which can lower the cost per gigabyte, improves electrical use to save power, boost reliability, and provide higher data write performance. While NAND flash memory is slow in reading data, it is fast in writing. NAND flash memory has become popular for products that need large data storage or fast writing capability such as MP3 music players and digital cameras. In addition, 3D NAND is used in smartphones as well as enterprise data center. Semiconductors companies are competing in developing and mass-producing the more advanced 3D NAND 64L and 128L.The equivalent capacity of 3D NAND is estimated to increase from 1.2M to 2.012M at the end of FY2019. This trend has a positive impact on KLA-Tencor as more sophisticated technology lead to increase in demand for inspection equipment.
  • 7.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 7  FINANCIALS Revenue KLA-Tencor Corporation has seen a robust growth in its revenues since the past two years. The company reported revenue of $3.48B in FY17, which is a 17% YoY increase from $2.98B reported in FY16. The revenue is majorly divided into 2 segments that are primarily responsible for the firm’s top line: product and services. Products majorly deal with the manufacturing and selling of inspection, metrology, and lithography equipment that help other firms detect and inspect impurities, test yields, etc. in semiconductor, LED, nanoelectronics and aerospace industries. On the other hand, the services are engineering consulting services where the company advises other firms on ways to increase their yields. Product segment is responsible for 77.7% of the revenue, and service is responsible for 22.3%. Among the products, Defect inspection makes up 46% of the revenue and metrology makes up 26.4%. In terms of geography, in FY17, KLAC’s 85% of revenue was international: Taiwan (32%), South Korea (20%), China (12%), etc. Moving forward, we expect the revenues to go up to ~$3.9B in FY18 and grow further at slow rates beyond that. The estimated revenue is ~$4B for FY19 and ~$4.1B for FY20. This increase is expected from the growing use of semiconductor material in the commonly used electronic devices like cell phones, computers, LEDs, and other high-tech machines in healthcare, aerospace, and finance industries. Processing chips are at the heart of all computing devices, from running the machine (logic chips) and displaying graphics (LEDs), to storing the data (3D NAND memory). The rising demand for such devices calls for an increase in the production of component chips. Intel, Samsung and TSCM, few of the highly influential firms in foundry business, depend highly on KLAC for improving the quality of their chips using different quality control solutions. Samsung, KLAC’s largest customer (28% revenue), recently announced an increase in its capex for the next year due to expanding demands. Likewise, China, the biggest chip consumer (55% of overall market share), has announced plans of spending $5B within next year for 7/10nm foundry. We expect this increase in capex by China to bring in more business to KLAC. The firm also had an unrealized revenue from FY17 due to backlog of ~$1.8B. Going forward, with the combination of all of the aforementioned factors, the company is strongly positioned to increase its top-line. Margins KLA-Tencor Corporation has the highest margins in the industry at the moment and they have been expanding at a steady rate over the past 3 years. The gross margins have been rising constantly over past few years, since FY12. The company’s gross margin in FY17 was 63.15%, which was better than FY16 by ~190bps. Similarly, the net income margins are also experiencing an upswing. The present net income margin, as per FY17 was 26.8%, a 10% raise from FY15 value. The increasing gross margins are due to the high shipments and efficient new product execution resulting from management’s healthy business model. The EBITDA margins are also showing equivalent trend, escalating at a robust pace. The EBITDA margins shot up from 29.9% in FY14, to 38.8% in FY17, growing at 3-year CAGR of 9%. KLAC’s EBITDA margins are also the strongest in the industry, due to its larger customer base and improved utilization levels. KLAC’s diversified product mix and leadership position in quality solutions area of the industry, allows it to earn premium price on its products and services, driving up the margins. This is a benefit it has over others, as it can compete on pricing during down cycles and still make high profits. At the same time, it can also provide enough funding for its future investments, and spend on R&D to ensure viability in this dynamically changing environment. $2.8 $2.9 $3.5 $3.9 $4.1 $0 $1 $1 $2 $2 $3 $3 $4 $4 $5 FY15 FY16 FY17E FY18E FY19E $(Billions) Revenue Growth 0.0% 20.0% 40.0% 60.0% 80.0% FY15 FY16 FY17 FY18E FY19E Margins Gross Margin EBITDA Margin Net Margin
  • 8.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 8  Earnings KLA-Tencor Corp. has been experiencing immense hike in it earnings per share. Company’s filed an EPS of $5.93 in FY17. The reported EPS was above $4.63, which was reported in FY16. The firm has been beating its estimates for 10 straight quarters now and it is strongly positioned for future beats. The company has witnessed enormous growth since FY14, when the EPS fell drastically due to bad performance by the firm. However, EPS has been growing at a 3-year CAGR of 15.6%, as metric went from $3.55 to $5.9, from FY14 to FY17. A further surge has been expected in the EPS value going forward, with EPS estimated to be $7.11 and $7.43 in FY18 and FY19, respectively. The underlying argument behind this is the booming revenue and increasing profitability of the firm, which will further drive its net income. Research & Development KLA-Tencor Corporation were the first ones to introduce PDC and yield management solutions that help firms cut down production defects to increase product efficiency. Their first device was an inspection device that reduced photomask inspection time from 8 hours to 15 minutes. That marked the start of the PDC segment and KLAC has been on the top ever since. KLAC has spent huge amounts on R&D, from $481M in FY16 to $526M in FY17. They are among the highest spenders in R&D within the industry, and the best in the PDC space. They spend about 15% of their revenue on this, which is highest in the industry, manifesting their dedication towards innovation. The technological world is moving fast, with product life spam reducing rapidly. The change is an ongoing process, and KLAC is well equipped to deal with it. Company’s large product mix which enhances their portfolio, helps them to serve larger range of customers. Increased use of electrical devices calls drives the demand for memory chips, and the Moore’s law pressures the industry to decrease the chip size and increase the number of transistors in order to cut costs and increase productivity. This forces the chip makers to use PDC solutions like EUV Lithography inspection, reticle-defect reduction technique, metrology, etc. to ensure high yields from its products like 3D NAND circuits, microprocessor chips, etc. Company reported $146.7M as R&D expense in 1Q18 which is a record high. Company is very profitable with high gross margins and ROI ratio, which allows them to keep up with such high R&D costs. They also have very high liquidity, with working capital of about $3.11B, out of which $2.9B is cash on hand. This safeguards their R&D expenses during down cycle, ahead of competitors. $2.97 $4.63 $5.93 $7.11 $7.43 $0.00 $1.00 $2.00 $3.00 $4.00 $5.00 $6.00 $7.00 $8.00 FY15 FY16 FY17 FY18E FY19E EPS ($)
  • 9.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 9  Cash Flows/CapEx KLAC’s free cash flow has been increasing rapidly since FY15. The free cash flow reported at the end of FY17 was $1041M, which is almost double the amount reported in FY15 i.e. $560M. The firm largely depends on the cash flow from operations for liquidity. The cash flow from operations has observed tremendous growth, causing the free cash flows to increase. The reported cash flow from operations was $605.9M, $759.7M, and $1079.7M in FY15, FY16, and FY17 respectively. The reasons driving the flow up over the 3 years were, the increase in collections by ~$850M due to higher shipment, tax benefits of about $11M due to change in accounting system, etc. KLAC’s capital expenditure was considerably high in FY14 because of increase in operations due to acquisition. However, since the past two years it has been declining. Its capex for FY17 was reported $38.6M, but we expect it to rise over the next 2 years. The 1Q18 capex was $15M, which is a 109% growth from 1Q17. The estimated capex for FY18 is $59.2M, which is a solid increase from $38.6M reported in FY17. The rise is mainly seen because of an expected increase in demand of its PDC products in memory space, as its customers like Samsung and TSCM, are in-turn expected to increase capex and memory chip production (DRAM and 3D NAND). Debt KLA-Tencor Corp. has currently total outstanding debt balance of $2.93B, out of which $250M is the current and the rest $2.52B in the form of long term debts. Roughly ~36% of the total is due by the end of FY19, and about ~60% of it is due in FY25. The major portion of the debt was use for the company’s stock repurchase program and the acquisition of Luminescent Technologies. Over the past 3 years, $0.81B have been spent on the buyback program, where management decided to repurchase 5.7M shares. Company’s investment for the future growth, such as spending on R&D, production of new products, expansion of service segment, etc. are partly responsible for the increase in debt. KLAC’s debt is relatively higher than others in this industry, but due to competitive edge it possesses due to the strong position in the market, and relatively high margins, we believe that this amount is a nominal one which doesn’t affect company’s healthy functioning in any way. Shareholder Returns KLA-Tencor Corp. has been consistently returning value to its customers by constant share repurchase programs and regular dividend yields. The current dividend yield is 2.3%, and it has been showing a slow growing trend. The quarterly dividends have gone up from $0.54 in 1Q17, to $0.59 in 1Q18, which is a 9% YoY increase. The total amount spent in FY17 was $335.4M ($0.54 per Q), which was more compared to $324M in FY16 ($0.52 per Q). The firm also paid a special dividend to long- term stockholders in December 2014 of a total $2.11B, where $16.50 dividend to those who qualified for these dividends. KLAC’s current payout ratio is 25.6%, and company plans to keep on increasing its yield to reward the stockholders. $560 $728 $1,041 $1,121 $1,097 $0 $200 $400 $600 $800 $1,000 $1,200 FY15 FY16 FY17 FY18E FY19E $(MILLIONS) Free Cash Flow (FCF)
  • 10.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 10  MANAGEMENT Mr. Richard P. Wallace is currently the President, a board member, and the Chief Executive Officer at KLA-Tencor Corporation. He has been at the firm for 29 years, starting as an application engineer in 1988. He went up the order to becoming the Chief Operating Officer in July 2005, and assumed his current position on 1st January 2006. Currently, he also serves as a board member of NetApp, Inc. and the Silicon Valley Leadership Group. Mr. Bren Higgins is presently the Chief Financial officer at KLA-Tencor Corporation and the Executive Vice President. He started his tenure at the firm back in 1999, beginning with the finance department. He was later promoted to other positions including, group controller, Director of Finance, Vice President of Finance, and Investor Relations. He was assigned his current role in 2013 which comprises of company’s finance operations and strategy management. Mr. Ben Tsai is the Chief Technology Officer and Executive Vice President-Corporate Alliances at KLA-Tencor Corporation. He has been at the firm for over 25 years, rising through the ranks from being a general manager at first, to the CTO in 1994. He has high experience in the field and have served previously at many other firms like Tokyo Electric Ltd.; Ultratech, Inc.; and more. He possesses high knowledge about the industry, as he has a doctorate in electrical engineering from UI-UC. KLA-Tencor Corporation compensates the management with equity awards, short-term and long-term performance- based incentives, yearly cash compensations, all this along with a fixed annual base salary. Management’s salaries depend on their performance, which in turn depends on the company’s growth i.e. the revenue growth, the different business segment growth, and such other metrics. This aligns their interests with that of the company, inspiring them to work even better and harder, promoting company’s overall growth. Mr. Ricard Wallace, the CEO, was compensated with $14.6M in FY16, which includes $0.9M base-salary and about $12.5M long-term compensation. Insider Transactions: In the past 1 year, the KLAC stock has had 6 insider purchases of $25.55M, and 15 insider sales worth $11.88M, yielding net activity of +$13.67M. Mr. Richard Wallace, the CEO, has consistently purchased company’s shares in high volumes, illustrating the strong belief of the executive management team towards the KLAC’s future surge.
  • 11.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 11  VALUATION Average 3- Year NTM P/E NTM EPS Target Price 17.78x $126.59$7.12 TARGET PRICE KLAC is currently trading at a LTM P/E multiple of 28.06x, representing a discount of 13.6% to its 3-year average NTM P/E multiple of 32.49x. Using the company’s NTM EPS projections of $3.41 and the target NTM P/E multiple of 32.49x, the investment will reach a target price of $126.59, representing a 20.90% return. Peer Group Analysis: Applied Materials (AMAT) is a California based semiconductors equipment company that engages in developing, manufacturing, marketing and servicing of semiconductor wafer fabrication equipment and related spare parts to semiconductor wafer and integrated circuit manufacturers, flat panel liquid crystal displays, solar photovoltaic cells and modules and other electronic devices manufacturers across the globe. Lam Research (LRCX) is a designer, manufacturer, marketer and server of semiconductor processing equipment. The Company offers thin film deposition products, plasma etch as well as single- wafer clean to world’s leading semiconductors manufacturers such as Micron Technology Inc., Samsung Electronics and Taiwan Semiconductor Manufacturing Company. ASML Holding NV (ASML) is a Dutch semiconductors equipment company that develops, produces, markets, sells, and services advanced semiconductor equipment systems with a focus on lithography related systems primarily in the Netherlands, the United States, and Asia. Equity Value Enterprise Value Revenue YoY Growth EBITDA EBITDA Margin Net Income Profit Margin ROE ROA ROIC Debt to EBITDA Debt to Equity Interest Coverage ASML Holding - - - - - - - - - - - - - - Applied Materials - 62,472 8,400 32.9% 2,694 32.1% 2,000 23.8% 21.2% 12.4% 15.9% 1.1x 33.8% 29.8x Lam Research 48,237 46,309 13,865 40.1% 3,949 28.5% 3,062 22.1% 39.4% 18.7% 27.1% 1.3x 46.3% 14.3x Median 28,656 25,044 8,859 49.7% 2,591 29.2% 2,025 22.9% 30.1% 16.2% 20.1% 1.0x 42.0% 18.2x Average 38,446 46,309 8,859 40.1% 2,694 29.2% 2,025 22.9% 30.1% 16.2% 20.1% 1.1x 42.0% 18.2x KLA - Tencor 38,446 44,608 10,375 40.9% 3,078 29.9% 2,362 22.9% 30.2% 15.7% 21.0% 1.1x 40.7% 20.7x Dycom Industries 16,611 16,329 3,699 19.6% 1,447 39.1% 1,029 27.8% 91.6% 19.3% 28.2% 1.9x 220.9% 10.6x Current Price Consensus Price Target Dividend Yield EV/ Sales EV/ EBITDA NTM EV/ EBITDA P/E 5yr Mean P/E NTM P/E NTM P/S WACC Cost of Debt Cost of Equity Beta (β) ASML Holding - - - - - - - - - - - - - - Applied Materials $179.60 $192.40 0.6% - 23.2x 22.4x - - 28.4x 6.9x 12.4% 0.6% 13.0% 1.31 Lam Research $56.36 $59.84 0.7% 4.2x 11.7x 11.8x 18.9x 21.6x 15.4x 3.8x 12.0% 2.9% 13.0% 1.74 Median $207.39 $226.06 0.9% 3.4x 9.7x 9.0x 19.3x 21.9x 13.9x 3.2x 10.8% 2.8% 11.5% 1.40 Average 0.7% 3.8x 11.7x 11.8x 19.1x 21.8x 15.4x 3.8x 12.0% 2.8% 13.0% 1.40 KLA - Tencor 0.7% 3.8x 14.9x 14.4x 19.1x 21.8x 19.2x 4.6x 11.7% 2.1% 12.5% 1.48 Dycom Industries $102.44 $111.91 2.3% 4.3x 11.3x 10.0x 15.5x 17.5x 14.4x 4.1x 7.9% 2.7% 8.8% 0.86 Market Valuation LTM Financials Return on Investment Leverage Ratios Price Enterprise Multiples Equity Multiples Cost of Capital
  • 12.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 12  Undervaluation KLAC is currently trading at a 9.4% discount to its average 3-year historical P/E multiple of 17.78x. The company is also undervalued in terms of its average 4- and 5-year forward P/E multiple, its 3-, 4-, and 5-year historical P/E multiple, and a 3-year forward spread against its comp group. Investors punished KLAC’s stock after the sixth straight decrease of U.S. Semiconductor capacity utilization rates in end of FY14, its announcement of job cuts in mid FY15, and after the cancellation of the mega merger deal. However, investor fears and uncertainty are unwarranted given the company’s clear leadership position in the fast-growing WFE market in China, upcoming robust product pipeline catalysts, as well as the company’s superior top- and bottom-line performance. On April 26th 2016, China announced its 13th 5-year plan to radically transform itself, specifically in the semiconductor industry where it set high yet pragmatic goal for the next five years. The systematic innovation by the semiconductor manufacturers every 1-2 years will lead to both smaller and more intricate chips, which will have a higher processing power and storage capacity, according to Moore’s Law. With this continuous progress of the industry, KLAC is presented with a great opportunity as its’ loyal customers will require additional equipment for inspection and measurement of the contents of the chips, which in turn enables them to develop and manufacture advanced semiconductor devices in accordance with the development of the new techniques and architectures. Via the development of new process control and yield management tools, the company will enable its customers to more effectively and efficiently employ these capital intensive facilities and improve their customers’ return on investment, aiding KLAC to both maintain its customer loyalty and potentially increase the company’s market share. The ability of the company to keep innovating with the expansion of cutting edge technology helps its customers effectively respond to the challenges presented by the shrinking of device sizes, the evolution of new product materials, and demanding lithography processes. With the company’s stock having taken such a dramatic dive, a unique and compelling buying opportunity has presented itself as fears that were the cause of the stock’s poor performance are overblown. Being the market leader in the process design control market and, along with its promising pipeline of innovative process control and yield management tools, and expected increases in capital expenditures from both the government of China and its primary customers, will serve to provide multiple expansion and drive the company to fair value of $126.59 and a 17.78x historical P/E multiple, yielding a total return of 20.9%. 3-Year Average P/E
  • 13.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 13  APPENDIX Exhibit I: KLAC 3-YR PE Chart Exhibit IV: Chips Manufacture Process
  • 14.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 14  Exhibit V: Wafer Fabrications Process Steps Exhibit VI: 2D/3D NAND market
  • 15.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 15  Exhibit VII: Flash- 3D NAND 2.012M Exhibit VIII: Semiconductor Capital Spending and Capital Intensity
  • 16.     Fall 2017      T e m p l e U n i v e r s i t y I n v e s t m e n t A s s o c i a t i o n : T h e F o x F u n d Page 16  DISCLAIMER This report is prepared strictly for educational purposes and should not be used as an actual investment guide. The forward-looking statements contained within are simply the author’s opinions. The writer does not own any KLA-Tencor, Corp. Stock. TUIA STATEMENT Established in honor of Professor William C. Dunkelberg, former Dean of the Fox School of Business, for his tireless dedication to educating students in “real-world” principles of economics and business, the Temple University Fox Fund will ensure that future generations of students have exposure to a challenging, practical learning experience. Managed by Fox School of Business graduate and undergraduate students with oversight from its Board of Directors, the Temple University Fox Fund’s goals are threefold:  Provide students with hands-on investment management experience  Enable students to work in a team-based setting in consultation with investment professionals.  Connect student participants with nationally recognized money managers and financial institutions Earnings from the fund will be reinvested net of fund expenses, which are primarily trading and auditing costs and partial scholarships for student participants.