SlideShare a Scribd company logo
1 of 7
Download to read offline
IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE)
e-ISSN: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep – Oct. 2015), PP 109-115
www.iosrjournals.org
DOI: 10.9790/1676-1052109115 www.iosrjournals.org 109 | Page
Reduce Power Consumption for Digital Cmos Circuits Using Dvts
Algoritham
Dr C.Rajesh kumar
Professor Jeppiaar Institute of Technology,Chennai
Abstract: The Power consumption of large scale integrated circuits increasing with each generation which
becomes a serious design issue. This paper proposed a generalized power tracking algorithm that reduces
power directly by dynamic control of supply voltage and body bias. The DVTS algorithm-(Dynamic Voltage and
threshold scaling algorithm) save the leakage power during active mode of the circuit. Total active power can
be minimized by dynamically adjusting Vdd and Vth based on circuit operating conditions such as temperature,
workload, and circuit architecture. The power saving method of DVTS is similar to that of the Dynamic VDD
Scaling (DVS) scheme, which adaptively changes the supply voltage depending on the current workload of the
system. For a digital circuit, it is possible to trade off dynamic and sub threshold leakage power by balancing
between Vdd and Vth to maintain performance.
Key words: dynamic voltage and threshold scaling(DVTS), leakage current control, low power, power ptimum
point, sleep transistor, variable body bias, variable supply voltage.
I. Introduction
Low power has emerged as a principal theme in today’s electronics industry. The need for low power
has caused a major paradigm shift where power dissipation has become as important a consideration as
performance and area. In the past, the major concerns of the VLSI designer were area, performance, cost and
reliability; power consideration was mostly of only secondary importance. In recent years, however, this has
begun to change and, increasingly, power is being given comparable weight to area and speed considerations.
Several factors have contributed to this trend. Perhaps the primary driving factor has been the
remarkable success and growth of the class of personal computing devices (portable desktops, audio- and video-
based multimedia products) and wireless communications systems (personal digital assistants and personal
communicators) which demand high-speed computation and complex functionality with low power
consumption.
Power dissipation has become an important objective in the design of digital circuits. There has been
intense research over the past two decades on various aspects of compilation and synthesis for low power. Many
architectural optimizations like re-configurable architectures, custom ASIC approaches, programmable multi-
cores, etc. have been explored. Micro-architectural techniques like parallelism and pipelining, power and clock
gating have become common place now. Circuit techniques for low voltage operation, standby current
reduction, optimal gate sizing have also been explored and are available for use by a designer. Most of these
optimization techniques are static techniques which are applied during design time. In the recent past, dynamic
power management techniques have emerged, where the power consumption is continuously adjusted during
run time of the system.
Traditionally, Dynamic power management (DPM) is employed at operating system level to adjust the
supply voltage for each power state. The supply voltage is conservatively margined to account for process and
temperature variations. These voltage margin increases with technology scaling due to larger process variations,
rendering DPM less efficient. On the other hand, the hardware approach like dynamic voltage scaling (DVS)
allows voltage to be scaled such that the actual delay of the chip instead of worst case delay meets the target
performance. This enables more power savings as minimum possible voltage for target performance can be
attained. In DVS the supply voltage is adjusted to meet the target delay using an on-chip delay monitor in a
hardware feedback loop. Performance degradation is a direct consequence of supply voltage reduction. In order
to maintain the required throughout, dynamic voltage scaling (DVS) systems are used to adjust the supply
voltage according to throughput requirements. Though DVS very well manages the dynamic switching power,
with shrinking feature size the static (leakage) power has increased exponentially which it cannot control.
Particularly, at low activity levels, leakage power is dominant.
We present a Dynamic VTH Scaling (DVTS) scheme to save the leakage power during active mode of
the circuit. Dynamic voltage and threshold scaling (DVTS) manages both dynamic and leakage powers by
adjusting supply voltage and body bias voltage. The power saving strategy of DVTS is similar to that of the
Dynamic VDD Scaling (DVS) scheme, which adaptively changes the supply voltage depending on the current
workload of the system. Instead of adjusting the supply voltage, DVTS controls the threshold voltage by means
Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham
DOI: 10.9790/1676-1052109115 www.iosrjournals.org 110 | Page
of body bias control, in order to reduce the leakage power. The power saving potential of DVTS and its impact
on dynamic and leakage power is applied to future technologies.
II. Dynamic Voltage And Thershold Scaling (Dvts):
Dynamic voltage and threshold scaling (DVTS) manages both dynamic and leakage powers by
adjusting supply voltage and body bias voltage In digital circuits designed below 0.1 m, total power at any given
performance can be optimized by DVTS. DVTS has been successfully proven on silicon for low power high
speed applications. The optimum power point varies strongly with activity and temperature.DVTS offers
considerable power savings compared to DVS when leakage power is a large fraction of total power. The power
savings increase with increasing logic depth. The moderate performance application-specific integrated circuit
(ASIC) with long logic depth at low activity and/or high temperatures gain the most with DVTS.
2.1 Overview Of Dvts:
Dynamic VTH Scaling (DVTS) scheme for active leakage power reduction. Whenever there is a slack
during computation, the VTH is adaptively changed to a higher value via changing the body bias voltage (VBB).
This will deliver just enough amount of throughput required for the current workload. In order to
examine the effectiveness of DVTS, comparisons between DVS and DVTS for current (0.25μm) and future
(0.07μm) process generations are performed. A careful investigation on the advantages and disadvantages of
DVTS over DVS is also made.
A DVTS hardware that has a feedback loop consisting of a voltage controlled oscillator (VCO), charge
pumps a feedback controller is proposed. The clock frequency of the system for a certain workload is
determined by the operating system in run-time. The DVTS hardware tracks the optimal VTH for the given
clock frequency by dynamically adjusting the VBB.
Figure 2.1 Dynamic VTH scaling by adaptively changing the body bias
Fig.2.1 shows how the DVTS scheme adaptively controls the body bias to change the VTH. For a time
period when the workload is less than the maximum, the operating system will recommend a lower clock
frequency to the hardware. Then the DVTS hardware will increase the PMOS body bias and decrease the
NMOS body bias to raise the VTH and reduce power dissipation. In cases when there is no workload at all, the
VTH can be increased as much as the upper limit of VBB, to significantly save the standby leakage power.
Reducing the clock frequency will proportionally reduce the total power. However, simply reducing the
clock rate does not affect the energy consumed per operation. Whereas by scaling the supply voltage together
with the frequency, we can gain significant power savings. This is because the dynamic power dominates the
total power. Scaling the threshold voltage instead of scaling the supply voltage saves mostly the leakage power.
For 0.25μm technology where the leakage power is a minute portion of the total power, DVTS is less efficient
than DVS in saving total power.
2.2 Modules Of Dvts System:
Vdd Controller:
 Vdd is the power supply to the digital circuit. Vdd will be scaled according to power consumption and time
delay Maximum time delay will be set and between in that limits Vdd will be scaled.
 If Vdd goes below the minimum Vdd value Vdd value will be increased.
Vbs Controller:
 Vbs is the voltage between source and substrate. Vbs will effect threshold voltage .Vbs of the digital circuit
will be varied to decrease the threshold voltage.
 In DVS only Vdd will be scaled to decrease total power consumption . In dvts approach both Vdd and Vbs
Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham
DOI: 10.9790/1676-1052109115 www.iosrjournals.org 111 | Page
will be scaled to decrease total power consumption.
2.3 Dvts Scheme:
Dynamic VTH Scaling (DVTS) scheme for active leakage power reduction. Whenever there is a slack
during computation, the VTH is adaptively changed to a higher value via changing the body bias voltage (VBB).
This will deliver just enough amount of throughput required for the current workload. In order to examine the
effectiveness of DVTS, comparisons between DVS and DVTS for current (0.25μm) and future (0.07μm) process
generations are performed.
A careful investigation on the advantages and disadvantages of DVTS over DVS is also made. A DVTS
hardware that has a feedback loop consisting of a voltage controlled oscillator (VCO), charge pumps a feedback
controller is proposed. The clock frequency of the system for a certain workload is determined by the operating
system in run-time. The DVTS hardware tracks the optimal VTH for the given clock frequency by dynamically
adjusting the VBB.
III. Dvts Controller:
Fig.3.1 shows block diagram of close loop DVTS system. DVTS controller implements the proposed
DVTS algorithm and controls the supply voltage regulator and well bias charge pump. Control signal generator
(CSG) generates control signals for power monitor when START signal is asserted. The proposed DVTS system
is suited for load circuits where the rate of change in activity is gradual.
Fig.3.1 Design Implementation Block Diagram
DVTS controller implements the proposed. DVTS algorithm and controls the supply voltage regulator
and well bias charge pump. The drain of sleep transistor acts as a virtual ground node for the load circuit. The
power monitor processes virtual ground voltage to generate a 2 bit output that gives information on total power
consumed by the load. Control signal generator (CSG) generates control signals for power monitor when
START signal is asserted. The delay monitor measures whether performance of load circuit meets the target
performance within tolerance limit. Delay monitor is implemented with a critical path replica circuit. The
proposed DVTS system is suited for load circuits where the rate of change in activity is gradual. The maximum
rate of change of activity that can be supported is discussed.
3.2 Dvts Algorithm:
Fig.3.2 shows the flow chart of the proposed DVTS algorithm. The algorithm first sets the supply
voltage to meet target performance, then adjust well potential to achieve minimum power. NWell bias and P
Well bias are always tuned by same amount and hence DVTS control loop is essentially a 2-D control loop. To
avoid oscillations, loop and loop are decoupled by tuning them independently. Initially maximum supply
voltages and maximum forward bias are applied and the supply voltages are slowly lowered.
At each step, the body bias is reset to maximum forward bias and locks to the target frequency to
ensure that the chip stays functional. Once the minimum power point is detected, the bias values can be held in a
register and the controller turned off.
Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham
DOI: 10.9790/1676-1052109115 www.iosrjournals.org 112 | Page
Fig. 3.2 (a) flowchart for automatic Vdd /Vth optimization.
The loop can be reactivated whenever the workload changes, or periodically updated to reflect changes
in temperature or operating conditions. Because the ASB loop does not have to be constantly running, the
overhead power consumption, which is already amortized across the whole chip, can be reduced even further.
This ASB control loop is completely self-contained and should lock to the true minimum power configuration
taking into account all possible current paths. This minimum will result in the physically lowest power
consumption achievable by tweaking both and subject to the constraint that the chips satisfy a target frequency.
Even for technologies where the theoretical limit is not yet achievable using body biasing techniques or in cases
where excessive forward bias at low degrades performance, it is still possible to use this architecture to find the
minimum physical power condition. The threshold voltage can be controlled by changing the body bias of the
transistors and is called the adaptive body bias (ABB) technique. For fast silicon, reverse body bias is applied to
increase threshold and reduce leakage, while for slow silicon, forward body bias is applied to reduce threshold
and improve performance. Here the body bias adjustment is done sparingly as needed based on the chip’s
process condition, and is not a continuous variable
like the supply voltage.
However the point of minimum power depends on the ratio of dynamic to leakage power, with the
former modulated by the activity factor. For media applications, the activity factor can vary a lot across different
applications and hence, the optimal settings for threshold and supply also vary
Analogous to table based DVS, a table based DVTS scheme has been proposed where for different
activity factors, the corresponding supply and body bias values are stored in the look up table. The activity
factors are estimated for different applications off-line. During run-time, based on the application being run, the
appropriate activity factor is used to consult the look up table to obtain the settings for supply and body bias,
which will minimize power. Adaptive voltage and threshold scaling aims to arrive at the optimal voltage and
threshold values at run time, by not only adapting to the process and temperature like in AVS, but also to the
dynamically varying activity conditions.
IV. Advantages Of Dvts Over Dvs:
No voltage level converters:
DVS or multiple VDD systems require a voltage level shifter whenever a low VDD signal is driving a
high VDD receiver. Although the conventional level converters prevent the static power consumption, the
dynamic power consumption is large enough to cancel out the power savings gained from supply voltage
scaling. Since DVTS systems use the same supply voltage throughout the chip, no voltage level converters are
required.
Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham
DOI: 10.9790/1676-1052109115 www.iosrjournals.org 113 | Page
Simple hardware:
Charge pumps are a simple solution for boosting voltages. No external inductors are needed and power
consumption is very low compared to buck converters, which are used for DVS systems. Charge pumps are used
for our DVTS system to generate the body bias voltages
Less power loss charging/discharging internal nodes:
Transition energy wasted charging/discharging the VDD ground capacitance is the power overhead of
the DVS scheme. For low-to-high and high-to-low transition of supply voltage, current is extracted or placed
back to the power supply. Even though there is no computation during this cycle, transition energy is consumed.
Since the supply voltage is fixed for DVTS, it has less transition energy loss while charging and discharging the
internal nodes.
Improvement in noise immunity:
Signal integrity has become an important issue for deep sub micron devices as crosstalk noise becomes
considerable. Increasing VTH for low workload periods in DVTS will help improve noise immunity, especially
for noise-susceptible circuits such as domino logic and pulsed flip-flops.
4.2 Results And Discussion:
To mitigate the active leakage problem, a Dynamic VTH Scaling (DVTS) scheme is presented. DVTS
algorithm that can be studied and implemented for the design of VDD controller. VDD will be scaled and
controlled according to the limits for the circuit. Power reduction technique can be applied to basic cmos circuits
and the results for average power are analyzed. Ex.Inveter, NAND, NOR. This idea can be extended to larger
circuits in future.
4.2.1 Vdd &Vbs Controller:
Fig 4.1 VDD controller Graph results
Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham
DOI: 10.9790/1676-1052109115 www.iosrjournals.org 114 | Page
Fig 4.2 VBS controller Graph results
Fig 4.3 DVTS controller Graph results
Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham
DOI: 10.9790/1676-1052109115 www.iosrjournals.org 115 | Page
V. Conclusion
A DVTS algorithm that can locate with varying activity is studied under simulation framework and
validated with a test chip. Timing overhead of DVTS system and size of load circuit are analyzed as they limit
the application of DVTS. The tracking performance of the algorithm can be improved by reducing the timing
overhead. It can be inferred that for technology node with larger leakage currents, DVTS is more beneficial over
DVS.
References:
[1]. J. Kao, M. Miyazaki, and A. Chandrakasan, “A 175-MV multiply-accumulate unit using an adaptive supply voltage and body
bias architecture,” IEEE J. Solid-State Circuits, vol. 37, no. 11, pp. 1545–1554, Nov. 2002,
[2]. K. Nose and T. Sakurai, “Optimization of VDD and VTH for low power and high speed applications,” in Proc. Asia South Pacific
Des. Autom. Conf., 2000, pp. 469–474.
[3]. M. Elgebaly and M. Sachdev, “Variation-aware adaptive voltage scaling system,” IEEE Trans. Very Large Scale Integr. (VLSI)
Syst., vol. 15, no. 5, pp. 560–571, May 2007.
[4]. R. McGowan, C. Poirier, C. Bostak, J. Ignowski, M. Millican, W. Parks, and S. Naffziger, “Power and temperature control on a 90-
nm Itanium family processor,” IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 229–237, Jan. 2006.
[5]. T. Burd, T. Pering, A. Stratakos, and R. Brodersen, “A Dynamic voltage scaled microprocessor system,” IEEE J. Solid-State
Circuits,vol.35, no. 11, pp. 1571–1580, Nov. 2000.
[6]. T. Kuroda, K. Suzuki, S. Mita, T. Fujita, F. Yamane, F. Sano, A. Chiba, Y. Watanabe, K. Matsuda, T. Maeda, T. Sakurai, and T.
Furuyama, “Variable supply-voltage scheme for low-power high-speed CMOS digital design,” IEEE J. Solid-State Circuits, vol. 33,
no. 3, pp. 454–462, Mar. 1998.
[7]. Y. Ramadass and A. Chandrakasan, “Minimum energy tracking loop with embedded DC-DC converter enabling ultra-low-voltage
operating down to 250 mV in 65 nm CMOS,” IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 256–265, Jan. 2008.

More Related Content

What's hot

Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...
Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...
Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...IRJET Journal
 
Sag mitigation in distribution system by using Dynamic voltage Restorer (DVR)
Sag mitigation in distribution system by using Dynamic voltage Restorer (DVR)Sag mitigation in distribution system by using Dynamic voltage Restorer (DVR)
Sag mitigation in distribution system by using Dynamic voltage Restorer (DVR)IJERA Editor
 
32 bit×32 bit multiprecision razor based dynamic
32 bit×32 bit multiprecision razor based dynamic32 bit×32 bit multiprecision razor based dynamic
32 bit×32 bit multiprecision razor based dynamicMastan Masthan
 
Compensation of Balanced and Unbalanced Voltage Disturbance using SRF Control...
Compensation of Balanced and Unbalanced Voltage Disturbance using SRF Control...Compensation of Balanced and Unbalanced Voltage Disturbance using SRF Control...
Compensation of Balanced and Unbalanced Voltage Disturbance using SRF Control...IRJET Journal
 
Design and Mitigation Techniques of MV Capacitor Bank Switching Transients on...
Design and Mitigation Techniques of MV Capacitor Bank Switching Transients on...Design and Mitigation Techniques of MV Capacitor Bank Switching Transients on...
Design and Mitigation Techniques of MV Capacitor Bank Switching Transients on...ijtsrd
 
IRJET- A Review on Improvement of Power Quality and Displacement Factor u...
IRJET-  	  A Review on Improvement of Power Quality and Displacement Factor u...IRJET-  	  A Review on Improvement of Power Quality and Displacement Factor u...
IRJET- A Review on Improvement of Power Quality and Displacement Factor u...IRJET Journal
 
Advanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip DesignAdvanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip DesignDr. Shivananda Koteshwar
 
Decentralized cooperative control strategy of microsources for stabilizing au...
Decentralized cooperative control strategy of microsources for stabilizing au...Decentralized cooperative control strategy of microsources for stabilizing au...
Decentralized cooperative control strategy of microsources for stabilizing au...Jamerson Ramos
 
Fpga versus dsp for wavelet transform based voltage sags detection
Fpga versus dsp for wavelet transform based voltage sags detectionFpga versus dsp for wavelet transform based voltage sags detection
Fpga versus dsp for wavelet transform based voltage sags detectionCecilio Martins
 
ECE561_finalProject
ECE561_finalProjectECE561_finalProject
ECE561_finalProjectvipin8055
 
STATE OF THE ART: DYNAMIC VOLTAGE RESTORER FOR POWER QUALITY IMPROVEMENT
STATE OF THE ART: DYNAMIC VOLTAGE RESTORER FOR POWER QUALITY IMPROVEMENTSTATE OF THE ART: DYNAMIC VOLTAGE RESTORER FOR POWER QUALITY IMPROVEMENT
STATE OF THE ART: DYNAMIC VOLTAGE RESTORER FOR POWER QUALITY IMPROVEMENTecij
 
Modeling Analysis& Solution of Power Quality Problems Using DVR & DSTATCOM
Modeling Analysis& Solution of Power Quality Problems Using DVR & DSTATCOMModeling Analysis& Solution of Power Quality Problems Using DVR & DSTATCOM
Modeling Analysis& Solution of Power Quality Problems Using DVR & DSTATCOMijsrd.com
 
Adaptable D-STATCOM Performance as a Flexible Distributed Generation in Mit...
Adaptable D-STATCOM Performance as a Flexible   Distributed Generation in Mit...Adaptable D-STATCOM Performance as a Flexible   Distributed Generation in Mit...
Adaptable D-STATCOM Performance as a Flexible Distributed Generation in Mit...IRJET Journal
 
Contemporary Control of DG Integrated DVR for Sag, Swell and Harmonic Mitigat...
Contemporary Control of DG Integrated DVR for Sag, Swell and Harmonic Mitigat...Contemporary Control of DG Integrated DVR for Sag, Swell and Harmonic Mitigat...
Contemporary Control of DG Integrated DVR for Sag, Swell and Harmonic Mitigat...IJECEIAES
 

What's hot (19)

Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...
Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...
Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...
 
Sag mitigation in distribution system by using Dynamic voltage Restorer (DVR)
Sag mitigation in distribution system by using Dynamic voltage Restorer (DVR)Sag mitigation in distribution system by using Dynamic voltage Restorer (DVR)
Sag mitigation in distribution system by using Dynamic voltage Restorer (DVR)
 
32 bit×32 bit multiprecision razor based dynamic
32 bit×32 bit multiprecision razor based dynamic32 bit×32 bit multiprecision razor based dynamic
32 bit×32 bit multiprecision razor based dynamic
 
Compensation of Balanced and Unbalanced Voltage Disturbance using SRF Control...
Compensation of Balanced and Unbalanced Voltage Disturbance using SRF Control...Compensation of Balanced and Unbalanced Voltage Disturbance using SRF Control...
Compensation of Balanced and Unbalanced Voltage Disturbance using SRF Control...
 
Design and Mitigation Techniques of MV Capacitor Bank Switching Transients on...
Design and Mitigation Techniques of MV Capacitor Bank Switching Transients on...Design and Mitigation Techniques of MV Capacitor Bank Switching Transients on...
Design and Mitigation Techniques of MV Capacitor Bank Switching Transients on...
 
H011125457
H011125457H011125457
H011125457
 
M24098107
M24098107M24098107
M24098107
 
IRJET- A Review on Improvement of Power Quality and Displacement Factor u...
IRJET-  	  A Review on Improvement of Power Quality and Displacement Factor u...IRJET-  	  A Review on Improvement of Power Quality and Displacement Factor u...
IRJET- A Review on Improvement of Power Quality and Displacement Factor u...
 
Advanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip DesignAdvanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip Design
 
Bb4103331337
Bb4103331337Bb4103331337
Bb4103331337
 
Decentralized cooperative control strategy of microsources for stabilizing au...
Decentralized cooperative control strategy of microsources for stabilizing au...Decentralized cooperative control strategy of microsources for stabilizing au...
Decentralized cooperative control strategy of microsources for stabilizing au...
 
Fpga versus dsp for wavelet transform based voltage sags detection
Fpga versus dsp for wavelet transform based voltage sags detectionFpga versus dsp for wavelet transform based voltage sags detection
Fpga versus dsp for wavelet transform based voltage sags detection
 
ECE561_finalProject
ECE561_finalProjectECE561_finalProject
ECE561_finalProject
 
STATE OF THE ART: DYNAMIC VOLTAGE RESTORER FOR POWER QUALITY IMPROVEMENT
STATE OF THE ART: DYNAMIC VOLTAGE RESTORER FOR POWER QUALITY IMPROVEMENTSTATE OF THE ART: DYNAMIC VOLTAGE RESTORER FOR POWER QUALITY IMPROVEMENT
STATE OF THE ART: DYNAMIC VOLTAGE RESTORER FOR POWER QUALITY IMPROVEMENT
 
Modeling Analysis& Solution of Power Quality Problems Using DVR & DSTATCOM
Modeling Analysis& Solution of Power Quality Problems Using DVR & DSTATCOMModeling Analysis& Solution of Power Quality Problems Using DVR & DSTATCOM
Modeling Analysis& Solution of Power Quality Problems Using DVR & DSTATCOM
 
Adaptable D-STATCOM Performance as a Flexible Distributed Generation in Mit...
Adaptable D-STATCOM Performance as a Flexible   Distributed Generation in Mit...Adaptable D-STATCOM Performance as a Flexible   Distributed Generation in Mit...
Adaptable D-STATCOM Performance as a Flexible Distributed Generation in Mit...
 
Contemporary Control of DG Integrated DVR for Sag, Swell and Harmonic Mitigat...
Contemporary Control of DG Integrated DVR for Sag, Swell and Harmonic Mitigat...Contemporary Control of DG Integrated DVR for Sag, Swell and Harmonic Mitigat...
Contemporary Control of DG Integrated DVR for Sag, Swell and Harmonic Mitigat...
 
A0460109
A0460109A0460109
A0460109
 
Ijetcas14 562
Ijetcas14 562Ijetcas14 562
Ijetcas14 562
 

Viewers also liked

Viewers also liked (20)

F1804013742
F1804013742F1804013742
F1804013742
 
K010615562
K010615562K010615562
K010615562
 
J013146163
J013146163J013146163
J013146163
 
A1303070106
A1303070106A1303070106
A1303070106
 
F010123235
F010123235F010123235
F010123235
 
L1303038388
L1303038388L1303038388
L1303038388
 
D012661723
D012661723D012661723
D012661723
 
N010617783
N010617783N010617783
N010617783
 
J013138290
J013138290J013138290
J013138290
 
N012628790
N012628790N012628790
N012628790
 
D012653136
D012653136D012653136
D012653136
 
Evaluating the Synchronization of a Chaotic Encryption Scheme Using Different...
Evaluating the Synchronization of a Chaotic Encryption Scheme Using Different...Evaluating the Synchronization of a Chaotic Encryption Scheme Using Different...
Evaluating the Synchronization of a Chaotic Encryption Scheme Using Different...
 
O0172597104
O0172597104O0172597104
O0172597104
 
G010424248
G010424248G010424248
G010424248
 
B010340611
B010340611B010340611
B010340611
 
Virtual Network Computing Based Droid desktop
Virtual Network Computing Based Droid desktopVirtual Network Computing Based Droid desktop
Virtual Network Computing Based Droid desktop
 
Design, Construction and Operation of a 4-Bit Counting Circuit
Design, Construction and Operation of a 4-Bit Counting CircuitDesign, Construction and Operation of a 4-Bit Counting Circuit
Design, Construction and Operation of a 4-Bit Counting Circuit
 
J017667582
J017667582J017667582
J017667582
 
L018137479
L018137479L018137479
L018137479
 
Congestion Control: A Dynamic Approach
Congestion Control: A Dynamic ApproachCongestion Control: A Dynamic Approach
Congestion Control: A Dynamic Approach
 

Similar to M01052109115

Pillai,Scheduling Algorithm
Pillai,Scheduling AlgorithmPillai,Scheduling Algorithm
Pillai,Scheduling Algorithmkhoj4u
 
Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of Volta...
Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of Volta...Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of Volta...
Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of Volta...IRJET Journal
 
IRJET-Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of...
IRJET-Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of...IRJET-Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of...
IRJET-Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of...IRJET Journal
 
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck ConverterIRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck ConverterIRJET Journal
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsIJERA Editor
 
Analysis of Total Harmonic Distortion (THD) Level of Distribution Network Usi...
Analysis of Total Harmonic Distortion (THD) Level of Distribution Network Usi...Analysis of Total Harmonic Distortion (THD) Level of Distribution Network Usi...
Analysis of Total Harmonic Distortion (THD) Level of Distribution Network Usi...IJERA Editor
 
A stochastic approach to analysis of energy aware dvs-enabled cloud datacenters
A stochastic approach to analysis of energy aware dvs-enabled cloud datacentersA stochastic approach to analysis of energy aware dvs-enabled cloud datacenters
A stochastic approach to analysis of energy aware dvs-enabled cloud datacentersieeepondy
 
A Review on Optimization Techniques for Power Quality Improvement using DSTAT...
A Review on Optimization Techniques for Power Quality Improvement using DSTAT...A Review on Optimization Techniques for Power Quality Improvement using DSTAT...
A Review on Optimization Techniques for Power Quality Improvement using DSTAT...ijtsrd
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
Massive Energy Saving Systems and Strategies at Equipment Level in the Highes...
Massive Energy Saving Systems and Strategies at Equipment Level in the Highes...Massive Energy Saving Systems and Strategies at Equipment Level in the Highes...
Massive Energy Saving Systems and Strategies at Equipment Level in the Highes...IJERA Editor
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1SUNODH GARLAPATI
 
Dual technique of reconfiguration and capacitor placement for distribution sy...
Dual technique of reconfiguration and capacitor placement for distribution sy...Dual technique of reconfiguration and capacitor placement for distribution sy...
Dual technique of reconfiguration and capacitor placement for distribution sy...IJECEIAES
 
POWER QUALITY IMPROVEMENT OF DISTRIBUTION GRID USING ULTRA CAPACITOR INTEGRAT...
POWER QUALITY IMPROVEMENT OF DISTRIBUTION GRID USING ULTRA CAPACITOR INTEGRAT...POWER QUALITY IMPROVEMENT OF DISTRIBUTION GRID USING ULTRA CAPACITOR INTEGRAT...
POWER QUALITY IMPROVEMENT OF DISTRIBUTION GRID USING ULTRA CAPACITOR INTEGRAT...IRJET Journal
 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip DesignBryce Nelson
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignEditor IJMTER
 
Multi-objective Pareto front and particle swarm optimization algorithms for p...
Multi-objective Pareto front and particle swarm optimization algorithms for p...Multi-objective Pareto front and particle swarm optimization algorithms for p...
Multi-objective Pareto front and particle swarm optimization algorithms for p...IJECEIAES
 

Similar to M01052109115 (20)

Pillai,Scheduling Algorithm
Pillai,Scheduling AlgorithmPillai,Scheduling Algorithm
Pillai,Scheduling Algorithm
 
High efficiency three phase nine level diode clamped multilevel inverter
High efficiency three phase nine level diode clamped multilevel inverterHigh efficiency three phase nine level diode clamped multilevel inverter
High efficiency three phase nine level diode clamped multilevel inverter
 
2
22
2
 
Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of Volta...
Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of Volta...Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of Volta...
Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of Volta...
 
IRJET-Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of...
IRJET-Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of...IRJET-Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of...
IRJET-Simulation and Modeling of Dynamic Voltage Restorer for Compensation Of...
 
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck ConverterIRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power Applications
 
Analysis of Total Harmonic Distortion (THD) Level of Distribution Network Usi...
Analysis of Total Harmonic Distortion (THD) Level of Distribution Network Usi...Analysis of Total Harmonic Distortion (THD) Level of Distribution Network Usi...
Analysis of Total Harmonic Distortion (THD) Level of Distribution Network Usi...
 
A stochastic approach to analysis of energy aware dvs-enabled cloud datacenters
A stochastic approach to analysis of energy aware dvs-enabled cloud datacentersA stochastic approach to analysis of energy aware dvs-enabled cloud datacenters
A stochastic approach to analysis of energy aware dvs-enabled cloud datacenters
 
A Review on Optimization Techniques for Power Quality Improvement using DSTAT...
A Review on Optimization Techniques for Power Quality Improvement using DSTAT...A Review on Optimization Techniques for Power Quality Improvement using DSTAT...
A Review on Optimization Techniques for Power Quality Improvement using DSTAT...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
Massive Energy Saving Systems and Strategies at Equipment Level in the Highes...
Massive Energy Saving Systems and Strategies at Equipment Level in the Highes...Massive Energy Saving Systems and Strategies at Equipment Level in the Highes...
Massive Energy Saving Systems and Strategies at Equipment Level in the Highes...
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
 
Dual technique of reconfiguration and capacitor placement for distribution sy...
Dual technique of reconfiguration and capacitor placement for distribution sy...Dual technique of reconfiguration and capacitor placement for distribution sy...
Dual technique of reconfiguration and capacitor placement for distribution sy...
 
POWER QUALITY IMPROVEMENT OF DISTRIBUTION GRID USING ULTRA CAPACITOR INTEGRAT...
POWER QUALITY IMPROVEMENT OF DISTRIBUTION GRID USING ULTRA CAPACITOR INTEGRAT...POWER QUALITY IMPROVEMENT OF DISTRIBUTION GRID USING ULTRA CAPACITOR INTEGRAT...
POWER QUALITY IMPROVEMENT OF DISTRIBUTION GRID USING ULTRA CAPACITOR INTEGRAT...
 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
 
Multi-objective Pareto front and particle swarm optimization algorithms for p...
Multi-objective Pareto front and particle swarm optimization algorithms for p...Multi-objective Pareto front and particle swarm optimization algorithms for p...
Multi-objective Pareto front and particle swarm optimization algorithms for p...
 

More from IOSR Journals (20)

A011140104
A011140104A011140104
A011140104
 
M0111397100
M0111397100M0111397100
M0111397100
 
L011138596
L011138596L011138596
L011138596
 
K011138084
K011138084K011138084
K011138084
 
J011137479
J011137479J011137479
J011137479
 
I011136673
I011136673I011136673
I011136673
 
G011134454
G011134454G011134454
G011134454
 
H011135565
H011135565H011135565
H011135565
 
F011134043
F011134043F011134043
F011134043
 
E011133639
E011133639E011133639
E011133639
 
D011132635
D011132635D011132635
D011132635
 
C011131925
C011131925C011131925
C011131925
 
B011130918
B011130918B011130918
B011130918
 
A011130108
A011130108A011130108
A011130108
 
I011125160
I011125160I011125160
I011125160
 
H011124050
H011124050H011124050
H011124050
 
G011123539
G011123539G011123539
G011123539
 
F011123134
F011123134F011123134
F011123134
 
E011122530
E011122530E011122530
E011122530
 
D011121524
D011121524D011121524
D011121524
 

Recently uploaded

"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxNavinnSomaal
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDGMarianaLemus7
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clashcharlottematthew16
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentationphoebematthew05
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Wonjun Hwang
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 

Recently uploaded (20)

"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort ServiceHot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptx
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDG
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clash
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentation
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 

M01052109115

  • 1. IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-ISSN: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep – Oct. 2015), PP 109-115 www.iosrjournals.org DOI: 10.9790/1676-1052109115 www.iosrjournals.org 109 | Page Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham Dr C.Rajesh kumar Professor Jeppiaar Institute of Technology,Chennai Abstract: The Power consumption of large scale integrated circuits increasing with each generation which becomes a serious design issue. This paper proposed a generalized power tracking algorithm that reduces power directly by dynamic control of supply voltage and body bias. The DVTS algorithm-(Dynamic Voltage and threshold scaling algorithm) save the leakage power during active mode of the circuit. Total active power can be minimized by dynamically adjusting Vdd and Vth based on circuit operating conditions such as temperature, workload, and circuit architecture. The power saving method of DVTS is similar to that of the Dynamic VDD Scaling (DVS) scheme, which adaptively changes the supply voltage depending on the current workload of the system. For a digital circuit, it is possible to trade off dynamic and sub threshold leakage power by balancing between Vdd and Vth to maintain performance. Key words: dynamic voltage and threshold scaling(DVTS), leakage current control, low power, power ptimum point, sleep transistor, variable body bias, variable supply voltage. I. Introduction Low power has emerged as a principal theme in today’s electronics industry. The need for low power has caused a major paradigm shift where power dissipation has become as important a consideration as performance and area. In the past, the major concerns of the VLSI designer were area, performance, cost and reliability; power consideration was mostly of only secondary importance. In recent years, however, this has begun to change and, increasingly, power is being given comparable weight to area and speed considerations. Several factors have contributed to this trend. Perhaps the primary driving factor has been the remarkable success and growth of the class of personal computing devices (portable desktops, audio- and video- based multimedia products) and wireless communications systems (personal digital assistants and personal communicators) which demand high-speed computation and complex functionality with low power consumption. Power dissipation has become an important objective in the design of digital circuits. There has been intense research over the past two decades on various aspects of compilation and synthesis for low power. Many architectural optimizations like re-configurable architectures, custom ASIC approaches, programmable multi- cores, etc. have been explored. Micro-architectural techniques like parallelism and pipelining, power and clock gating have become common place now. Circuit techniques for low voltage operation, standby current reduction, optimal gate sizing have also been explored and are available for use by a designer. Most of these optimization techniques are static techniques which are applied during design time. In the recent past, dynamic power management techniques have emerged, where the power consumption is continuously adjusted during run time of the system. Traditionally, Dynamic power management (DPM) is employed at operating system level to adjust the supply voltage for each power state. The supply voltage is conservatively margined to account for process and temperature variations. These voltage margin increases with technology scaling due to larger process variations, rendering DPM less efficient. On the other hand, the hardware approach like dynamic voltage scaling (DVS) allows voltage to be scaled such that the actual delay of the chip instead of worst case delay meets the target performance. This enables more power savings as minimum possible voltage for target performance can be attained. In DVS the supply voltage is adjusted to meet the target delay using an on-chip delay monitor in a hardware feedback loop. Performance degradation is a direct consequence of supply voltage reduction. In order to maintain the required throughout, dynamic voltage scaling (DVS) systems are used to adjust the supply voltage according to throughput requirements. Though DVS very well manages the dynamic switching power, with shrinking feature size the static (leakage) power has increased exponentially which it cannot control. Particularly, at low activity levels, leakage power is dominant. We present a Dynamic VTH Scaling (DVTS) scheme to save the leakage power during active mode of the circuit. Dynamic voltage and threshold scaling (DVTS) manages both dynamic and leakage powers by adjusting supply voltage and body bias voltage. The power saving strategy of DVTS is similar to that of the Dynamic VDD Scaling (DVS) scheme, which adaptively changes the supply voltage depending on the current workload of the system. Instead of adjusting the supply voltage, DVTS controls the threshold voltage by means
  • 2. Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham DOI: 10.9790/1676-1052109115 www.iosrjournals.org 110 | Page of body bias control, in order to reduce the leakage power. The power saving potential of DVTS and its impact on dynamic and leakage power is applied to future technologies. II. Dynamic Voltage And Thershold Scaling (Dvts): Dynamic voltage and threshold scaling (DVTS) manages both dynamic and leakage powers by adjusting supply voltage and body bias voltage In digital circuits designed below 0.1 m, total power at any given performance can be optimized by DVTS. DVTS has been successfully proven on silicon for low power high speed applications. The optimum power point varies strongly with activity and temperature.DVTS offers considerable power savings compared to DVS when leakage power is a large fraction of total power. The power savings increase with increasing logic depth. The moderate performance application-specific integrated circuit (ASIC) with long logic depth at low activity and/or high temperatures gain the most with DVTS. 2.1 Overview Of Dvts: Dynamic VTH Scaling (DVTS) scheme for active leakage power reduction. Whenever there is a slack during computation, the VTH is adaptively changed to a higher value via changing the body bias voltage (VBB). This will deliver just enough amount of throughput required for the current workload. In order to examine the effectiveness of DVTS, comparisons between DVS and DVTS for current (0.25μm) and future (0.07μm) process generations are performed. A careful investigation on the advantages and disadvantages of DVTS over DVS is also made. A DVTS hardware that has a feedback loop consisting of a voltage controlled oscillator (VCO), charge pumps a feedback controller is proposed. The clock frequency of the system for a certain workload is determined by the operating system in run-time. The DVTS hardware tracks the optimal VTH for the given clock frequency by dynamically adjusting the VBB. Figure 2.1 Dynamic VTH scaling by adaptively changing the body bias Fig.2.1 shows how the DVTS scheme adaptively controls the body bias to change the VTH. For a time period when the workload is less than the maximum, the operating system will recommend a lower clock frequency to the hardware. Then the DVTS hardware will increase the PMOS body bias and decrease the NMOS body bias to raise the VTH and reduce power dissipation. In cases when there is no workload at all, the VTH can be increased as much as the upper limit of VBB, to significantly save the standby leakage power. Reducing the clock frequency will proportionally reduce the total power. However, simply reducing the clock rate does not affect the energy consumed per operation. Whereas by scaling the supply voltage together with the frequency, we can gain significant power savings. This is because the dynamic power dominates the total power. Scaling the threshold voltage instead of scaling the supply voltage saves mostly the leakage power. For 0.25μm technology where the leakage power is a minute portion of the total power, DVTS is less efficient than DVS in saving total power. 2.2 Modules Of Dvts System: Vdd Controller:  Vdd is the power supply to the digital circuit. Vdd will be scaled according to power consumption and time delay Maximum time delay will be set and between in that limits Vdd will be scaled.  If Vdd goes below the minimum Vdd value Vdd value will be increased. Vbs Controller:  Vbs is the voltage between source and substrate. Vbs will effect threshold voltage .Vbs of the digital circuit will be varied to decrease the threshold voltage.  In DVS only Vdd will be scaled to decrease total power consumption . In dvts approach both Vdd and Vbs
  • 3. Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham DOI: 10.9790/1676-1052109115 www.iosrjournals.org 111 | Page will be scaled to decrease total power consumption. 2.3 Dvts Scheme: Dynamic VTH Scaling (DVTS) scheme for active leakage power reduction. Whenever there is a slack during computation, the VTH is adaptively changed to a higher value via changing the body bias voltage (VBB). This will deliver just enough amount of throughput required for the current workload. In order to examine the effectiveness of DVTS, comparisons between DVS and DVTS for current (0.25μm) and future (0.07μm) process generations are performed. A careful investigation on the advantages and disadvantages of DVTS over DVS is also made. A DVTS hardware that has a feedback loop consisting of a voltage controlled oscillator (VCO), charge pumps a feedback controller is proposed. The clock frequency of the system for a certain workload is determined by the operating system in run-time. The DVTS hardware tracks the optimal VTH for the given clock frequency by dynamically adjusting the VBB. III. Dvts Controller: Fig.3.1 shows block diagram of close loop DVTS system. DVTS controller implements the proposed DVTS algorithm and controls the supply voltage regulator and well bias charge pump. Control signal generator (CSG) generates control signals for power monitor when START signal is asserted. The proposed DVTS system is suited for load circuits where the rate of change in activity is gradual. Fig.3.1 Design Implementation Block Diagram DVTS controller implements the proposed. DVTS algorithm and controls the supply voltage regulator and well bias charge pump. The drain of sleep transistor acts as a virtual ground node for the load circuit. The power monitor processes virtual ground voltage to generate a 2 bit output that gives information on total power consumed by the load. Control signal generator (CSG) generates control signals for power monitor when START signal is asserted. The delay monitor measures whether performance of load circuit meets the target performance within tolerance limit. Delay monitor is implemented with a critical path replica circuit. The proposed DVTS system is suited for load circuits where the rate of change in activity is gradual. The maximum rate of change of activity that can be supported is discussed. 3.2 Dvts Algorithm: Fig.3.2 shows the flow chart of the proposed DVTS algorithm. The algorithm first sets the supply voltage to meet target performance, then adjust well potential to achieve minimum power. NWell bias and P Well bias are always tuned by same amount and hence DVTS control loop is essentially a 2-D control loop. To avoid oscillations, loop and loop are decoupled by tuning them independently. Initially maximum supply voltages and maximum forward bias are applied and the supply voltages are slowly lowered. At each step, the body bias is reset to maximum forward bias and locks to the target frequency to ensure that the chip stays functional. Once the minimum power point is detected, the bias values can be held in a register and the controller turned off.
  • 4. Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham DOI: 10.9790/1676-1052109115 www.iosrjournals.org 112 | Page Fig. 3.2 (a) flowchart for automatic Vdd /Vth optimization. The loop can be reactivated whenever the workload changes, or periodically updated to reflect changes in temperature or operating conditions. Because the ASB loop does not have to be constantly running, the overhead power consumption, which is already amortized across the whole chip, can be reduced even further. This ASB control loop is completely self-contained and should lock to the true minimum power configuration taking into account all possible current paths. This minimum will result in the physically lowest power consumption achievable by tweaking both and subject to the constraint that the chips satisfy a target frequency. Even for technologies where the theoretical limit is not yet achievable using body biasing techniques or in cases where excessive forward bias at low degrades performance, it is still possible to use this architecture to find the minimum physical power condition. The threshold voltage can be controlled by changing the body bias of the transistors and is called the adaptive body bias (ABB) technique. For fast silicon, reverse body bias is applied to increase threshold and reduce leakage, while for slow silicon, forward body bias is applied to reduce threshold and improve performance. Here the body bias adjustment is done sparingly as needed based on the chip’s process condition, and is not a continuous variable like the supply voltage. However the point of minimum power depends on the ratio of dynamic to leakage power, with the former modulated by the activity factor. For media applications, the activity factor can vary a lot across different applications and hence, the optimal settings for threshold and supply also vary Analogous to table based DVS, a table based DVTS scheme has been proposed where for different activity factors, the corresponding supply and body bias values are stored in the look up table. The activity factors are estimated for different applications off-line. During run-time, based on the application being run, the appropriate activity factor is used to consult the look up table to obtain the settings for supply and body bias, which will minimize power. Adaptive voltage and threshold scaling aims to arrive at the optimal voltage and threshold values at run time, by not only adapting to the process and temperature like in AVS, but also to the dynamically varying activity conditions. IV. Advantages Of Dvts Over Dvs: No voltage level converters: DVS or multiple VDD systems require a voltage level shifter whenever a low VDD signal is driving a high VDD receiver. Although the conventional level converters prevent the static power consumption, the dynamic power consumption is large enough to cancel out the power savings gained from supply voltage scaling. Since DVTS systems use the same supply voltage throughout the chip, no voltage level converters are required.
  • 5. Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham DOI: 10.9790/1676-1052109115 www.iosrjournals.org 113 | Page Simple hardware: Charge pumps are a simple solution for boosting voltages. No external inductors are needed and power consumption is very low compared to buck converters, which are used for DVS systems. Charge pumps are used for our DVTS system to generate the body bias voltages Less power loss charging/discharging internal nodes: Transition energy wasted charging/discharging the VDD ground capacitance is the power overhead of the DVS scheme. For low-to-high and high-to-low transition of supply voltage, current is extracted or placed back to the power supply. Even though there is no computation during this cycle, transition energy is consumed. Since the supply voltage is fixed for DVTS, it has less transition energy loss while charging and discharging the internal nodes. Improvement in noise immunity: Signal integrity has become an important issue for deep sub micron devices as crosstalk noise becomes considerable. Increasing VTH for low workload periods in DVTS will help improve noise immunity, especially for noise-susceptible circuits such as domino logic and pulsed flip-flops. 4.2 Results And Discussion: To mitigate the active leakage problem, a Dynamic VTH Scaling (DVTS) scheme is presented. DVTS algorithm that can be studied and implemented for the design of VDD controller. VDD will be scaled and controlled according to the limits for the circuit. Power reduction technique can be applied to basic cmos circuits and the results for average power are analyzed. Ex.Inveter, NAND, NOR. This idea can be extended to larger circuits in future. 4.2.1 Vdd &Vbs Controller: Fig 4.1 VDD controller Graph results
  • 6. Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham DOI: 10.9790/1676-1052109115 www.iosrjournals.org 114 | Page Fig 4.2 VBS controller Graph results Fig 4.3 DVTS controller Graph results
  • 7. Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham DOI: 10.9790/1676-1052109115 www.iosrjournals.org 115 | Page V. Conclusion A DVTS algorithm that can locate with varying activity is studied under simulation framework and validated with a test chip. Timing overhead of DVTS system and size of load circuit are analyzed as they limit the application of DVTS. The tracking performance of the algorithm can be improved by reducing the timing overhead. It can be inferred that for technology node with larger leakage currents, DVTS is more beneficial over DVS. References: [1]. J. Kao, M. Miyazaki, and A. Chandrakasan, “A 175-MV multiply-accumulate unit using an adaptive supply voltage and body bias architecture,” IEEE J. Solid-State Circuits, vol. 37, no. 11, pp. 1545–1554, Nov. 2002, [2]. K. Nose and T. Sakurai, “Optimization of VDD and VTH for low power and high speed applications,” in Proc. Asia South Pacific Des. Autom. Conf., 2000, pp. 469–474. [3]. M. Elgebaly and M. Sachdev, “Variation-aware adaptive voltage scaling system,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 15, no. 5, pp. 560–571, May 2007. [4]. R. McGowan, C. Poirier, C. Bostak, J. Ignowski, M. Millican, W. Parks, and S. Naffziger, “Power and temperature control on a 90- nm Itanium family processor,” IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 229–237, Jan. 2006. [5]. T. Burd, T. Pering, A. Stratakos, and R. Brodersen, “A Dynamic voltage scaled microprocessor system,” IEEE J. Solid-State Circuits,vol.35, no. 11, pp. 1571–1580, Nov. 2000. [6]. T. Kuroda, K. Suzuki, S. Mita, T. Fujita, F. Yamane, F. Sano, A. Chiba, Y. Watanabe, K. Matsuda, T. Maeda, T. Sakurai, and T. Furuyama, “Variable supply-voltage scheme for low-power high-speed CMOS digital design,” IEEE J. Solid-State Circuits, vol. 33, no. 3, pp. 454–462, Mar. 1998. [7]. Y. Ramadass and A. Chandrakasan, “Minimum energy tracking loop with embedded DC-DC converter enabling ultra-low-voltage operating down to 250 mV in 65 nm CMOS,” IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 256–265, Jan. 2008.