SlideShare a Scribd company logo
1 of 10
Download to read offline
IJESM Volume 2, Issue 1 ISSN: 2320-0294
________________________________________________________
A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories
Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A.
International Journal of Engineering, Science and Mathematics
http://www.ijmra.us
175
March
2013
“Improvement in Surface Finish by Lapping
Process”- A Review
Krunal P. Modi
Prof. S. P. Joshi
Prof. (Dr.) P. M. George
Abstract
Surface finish is the allowable deviation from a perfectly flat surface that is made by some
manufacturing process. All machining processes will produces some roughness on the surface.
This roughness is attributed to cutting tool, material removal rate, environmental conditions and
the type of material. . In the era of nanotechnology, deterministic high precision finishing
methods are of utmost importance and are the need of present manufacturing scenario.The surface
finish may have implications for friction, wear, and maintenance or corrosion resistance and must,
therefore, also be carefully chosen and clearly specified. Peaks and valleys can be measured and
used to define the condition of the surface. The attempts are made to achieve Nano finish using
super finishing processes like lapping. This will reduce cost and time. The trend has motivated to
carry out a review on such research work. Such review will help in forming the basis for an
intended research work in the similar direction.
Keywords- Lapping, Nano finish, Cutting Speed, Abrasive Material
 M.E. Student Mechanical Engineering Department, Birla Vishvakarma Mahavidhyalaya
(BVM), Vallabh Vidyanagar, Anand, Gujarat, India
 AssociateProf. in Mechanical Engineering Department, Birla Vishvakarma Mahavidhyalaya
(BVM), Vallabh Vidyanagar, Anand, Gujarat, India
 Head of Mechanical Engineering Department, Birla Vishvakarma Mahavidhyalaya (BVM),
Vallabh Vidyanagar, Anand, Gujarat, India
IJESM Volume 2, Issue 1 ISSN: 2320-0294
________________________________________________________
A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories
Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A.
International Journal of Engineering, Science and Mathematics
http://www.ijmra.us
176
March
2013
1.INTRODUCTION
Surface finish is an important element in any specification of stainless steel regardless of the
intended use. For those applications where Appearance is important; finish is a design element
and must be specified. In non-decorative applications the surface finish may have implications for
friction, wear, and maintenance or corrosion resistance and must, therefore, also be carefully
chosen and clearly specified. The choice of finish should never be left to the supplier, or the
specification loosely worded, such as “Type 304 with a 180 grit finish”. The finish should be
properly identified by a standard industry designation or by a trade name therefore, also be
carefully chosen and clearly specified.
The machining processes were classifieds into three categories on the basis of achievable
accuracy viz. (1) Conventional machining (2) precision machining (3) Ultra-precision machining
Ultra precision machining are the processes by which the highest possible dimensional accuracy
is, or has been achieved at a given point of time. It has been predicted that by 2000 AD,
machining accuracies in conventional processes would reach 1 µm, while in precision and ultra-
precision machining would reach0.01µm (10nm) and 0.001µm (1nm) respectively. These
accuracy targets for today’s ultra-precision machining can’t be achieved by simple extension of
conventional machining processes and techniques.
IJESM Volume 2, Issue 1 ISSN: 2320-0294
________________________________________________________
A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories
Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A.
International Journal of Engineering, Science and Mathematics
http://www.ijmra.us
177
March
2013
Fig.1 Achievable machining Accuracy [16]
Surface finish is generally broken up into three components such as roughness, waviness, and
form.
 Roughness is generally the machined marks made on a surface by the cutting tool.
 Waviness is the result of the vibration of the tool.
 Form surface irregularities caused by worn off machine bad, table etc.
All three surface finish components exist simultaneously. They simply overlap one another. We
often look at each (roughness, waviness, and form) separately, so we make the assumption that
roughness has a shorter wavelength than waviness, which in turn has a shorter wavelength than
form.
Ra = the average variation from mean line.
Rt = Distance from the highest peak to the deepest valley.
Rz = the average Rt over a given length.
Rz= (Rp1+Rp2+Rp3)+(Rv1+Rv2+Rv3) / 3
Rp = the highest peak above the mean line.
Rv = The deepest valley below the mean line.
1.1. LAPPING PROCESS
There are several techniques used for removing material from a particular workpiece (also called
specimen in this discussion).Grinding, lapping, polishing, and CMP (chem.-mechanical polishing)
are all techniques used for precise removal of material. The lapping process is one of the
IJESM Volume 2, Issue 1 ISSN: 2320-0294
________________________________________________________
A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories
Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A.
International Journal of Engineering, Science and Mathematics
http://www.ijmra.us
178
March
2013
traditional finishing processes. Lapping is a process by which material is precisely removed from
a workpiece (or specimen) to produce a desired dimension, surface finish, or shape. Several
materials were machined as mirror like surface using the system. The process of lapping materials
has been applied to a wide range of materials and applications, ranging from metals, glasses,
optics, semiconductors, and ceramics. Lapping technique is beneficial due to the precision and
control with which material can be removed. Surface finishesin the Nano meter range can also be
produced using this technique, which makes lapping an attractive method for materials
processing.Lapping is the removal of material to produce a smooth, flat, unpolished surface.
Lapping processes are used to produce dimensionally accurate specimens to high tolerances
(generally less than 2.5µm uniformity). The lapping plate will rotate at a low speed (<80 rpm) and
a mid-range abrasive particle (5-20µm) is typically used. Lapping removes subsurface damage
caused by sawing or grinding and produces the required thickness and flatness. Although the
lapping process is less damaging than grinding, there are two regimes of lapping: free abrasive
lapping and fixed abrasive lapping.Free Abrasive Lapping is when abrasive slurry is applied
directly to a lapping plate (e.g. cast iron). This is perhaps the most accurate method for producing
specimens and causes the least amount of damage. Free abrasive lapping is accurate because of
the rigid lapping surface which can be tailored to suit a particular material.Fixed Abrasive
Lapping is when an abrasive particle in bonded to a substrate as with abrasive lapping films and
SiC (silicon carbide) papers. Abrasive lapping films have various particles bonded to a thin,
uniform polyester substrate and are also capable of producing a very flat surface. SiC papers are
much thicker than the film and create the potential for rounded edges on the sample.[1]
1.1.1 Abrasive Types
There is a wide selection of abrasives to choose from when selecting a lapping process. Selecting
an abrasive is dependent upon the specimen hardness, desired surface finish, desired removal rate,
life time and price. There are four basic types of abrasives that are used in lapping
processes:Silicon Carbide (SiC), Aluminum Oxide Or Alumina (AlO), Boron Carbide (B C),
Diamond (C)
MATERIAL HARDNESS (KNOOP 100) DENSITY
SILICON CARBIDE (SIC) 2450 3.22
IJESM Volume 2, Issue 1 ISSN: 2320-0294
________________________________________________________
A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories
Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A.
International Journal of Engineering, Science and Mathematics
http://www.ijmra.us
179
March
2013
Table 1: Various Abrasive Materials and Associated
All of these abrasives have distinct properties and are used for different materials and applications.
 Silicon Carbide (SiC):SiC is hard and generally has a needle or blocky structure. Sic is used in
many applications where rough lapping is required. It seldom is used for polishing or applications
that require smooth surface finishes. Silicon carbide is generally used where rapid stock removal
is a requirement.
 Aluminum Oxide Or Alumina (AlO) :AlO is relatively hard and has a sharp, angular structure.
Alumina is commonly used where fine surface finishes are required as it breaks down over time
and gives excellent surfaces during lapping. Alumina is also relatively inexpensive. Fused
aluminium oxide is softer than silicon carbide and is used to improve the finish, especially in
lapping comparatively soft metals such as steel and nonferrous metals. Un-fused aluminum oxide
is very soft and breaks down rapidly under lapping pressures. It is inefficient for stockremoval,
but especially suitable for producing extremely fine finishes.
 Boron Carbide (BC): BC is harder than most other abrasives (excluding diamond) and has a
blocky crystal structure. BC provides excellent removal rates and is typically used when fast
removal with moderate surface quality is needed.
 Diamond (C): Diamond is the hardest material known and has a sharp, angular structure.
Diamond is extremely useful in lapping due to its removal rates and surface finishing qualities.
Diamond can produce excellent surface finishes combined with high removal rates.[1]
1.1.2. ABRASIVE SIZE
Abrasive size referred to as grits, affects the amount of work achieved as well as the finish
produced.Coarse abrasive sizes range between 8-60grit. Coarser grits remove significant material
and leave coarser finishes. The coarser grit sizes are a good choice for large weld removal, de-
flashing, and de-gating castings, and remove also large amounts of stock.Medium abrasive sizes
range between 80-150grits. Medium grits will also remove affair amount of material and leave
finer and paintable surfaces. They are also good for spot weld removal radiusing, deburring and
ALUMINA (AlO) 2000 3.97
BORON CARBIDE (BC) 3000 2.51
DIAMOND ( C ) 6000 3.51
IJESM Volume 2, Issue 1 ISSN: 2320-0294
________________________________________________________
A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories
Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A.
International Journal of Engineering, Science and Mathematics
http://www.ijmra.us
180
March
2013
finer weld removal. Finer abrasive sizes range between180-400 and super fine up to1200 grits, the
material removal is less but are capable of maintaining good rms finishes.[1]
1.1.3. Lapping Plates
Lapping processes are performed on a hard, metal plate used in conjunction with abrasive
suspensions such as diamond, silicon carbide (SiC), aluminum oxide (Al O), or boron carbide
(BC). The metal lapping plate selected depends upon the desired material removal rate, the
surface finish desired, the hardness of the specimen being lapped, and the flatness requirement.
Plate selection can play a critical role in the production of high quality specimens. Lapping plates
can be flat or grooved depending upon the desired application. Grooved plates provide greater
removal rates and prevent the abrasive from squeezing out from between the plate and the
specimen.
2. LITERATURE REVIEW
In this study, a computer-based intelligent decision support system designed to achieve the
optimization of the lapping of plane surfaces, by the Taguchi’s method of arrays of experiments.
[2]
In this work,the mechanical lapping process of diamond cutting tools aims to investigate the
influence of tool face orientations and the effects of contact accuracy betweenlapped tool surface
and rapid rotating scaife’s surface on the sharpened cutting edge radius. [3]
In this study, the plane lapping was performed and analysed by ANOVA table. As a result,
effective variables and interaction effects were identified and discussed. Also the optimal variable
combination to obtain the largest percentage improvement of surface roughness was selected and
confirmatory experiments were performed. Important variables lapping efficiency are abrasive
grain size, lapping pressure, lapping speed, quantity of lapping compound supplied and viscosity
of the compound, etc. [4]
In this study, Ball screws are being lapped as a finishing process to improve the travel variation,
and surface finish in order to provide high precision requirements in various mechanical
IJESM Volume 2, Issue 1 ISSN: 2320-0294
________________________________________________________
A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories
Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A.
International Journal of Engineering, Science and Mathematics
http://www.ijmra.us
181
March
2013
applications. However, the existing manufacturing method is very labour intensive that needs a
highly skilled machinist to perform the hand lapping operations using the conventional laps which
have two or three slits.[5]
In this study, they developed an automatic lapping system for moulds and dies. The lapping
system consists of simple lapping tools and conventional milling machine. Several materials were
machined as mirror like surface using the system. In this study, an intelligent lapping system with
the optimum conditions calculated by the lapping model was developed. Specifically, the
relationship between the finished quality (surface roughness Rz and improvement rate of Rz) and
each parameter of the system, Vickers hardness of work piece and lapping head, lapping pressure,
grain size, is investigated. Then, an intelligent lapping system is developed. [6]
In this study, the fundamental mechanism of material removal in lapping process and identifies
key areas where further work is required. [7]
In this study, two types of HIPed Si3N4 bearing ball blanks with different surface hardness and
fracture toughness were lapped under various loads, speeds, and lubricants using a novel eccentric
lapping machine. The lapped surfaces were examined by optical microscope and SEM Different
lapping fluids affected the material removal rate at lower lapping loads, but they had much less
influence on the material removal rate at higher lapping loads. The preliminary conclusion is that
the material removal mechanism during the lapping process of silicon nitride balls using this
eccentric lapping machine is mainly mechanical abrasive wear. [8]
In this study,advanced ceramic balls are used extensively in hybrid precision ball bearings and
show advantages in high speed, high temperature, high load and hostile environment. Finishing
these balls with high quality, good efficiency and low cost is critical to their widespread
application. A brief review on the methods of finishing ceramic balls is presented. The design of a
novel eccentric lapping machine for finishing advanced ceramic balls is described in this paper. [9]
In this study, it is estimated that the final finishing process and associated handling and inspection
of advanced ceramic balls constitutes two thirds of the total manufacturing cost. Finishing
IJESM Volume 2, Issue 1 ISSN: 2320-0294
________________________________________________________
A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories
Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A.
International Journal of Engineering, Science and Mathematics
http://www.ijmra.us
182
March
2013
advanced ceramic balls at low cost and efficiency while maintaining high surface quality to
ensure long fatigue life is critical to its widespread application. [10]
In this study, the paper presents experimental research results obtained by plane surface lapping.
Experiments were aimed at measuring the height of the removed material layer of the lapped
surfaces for several types of materials, in view of setting up a comprehensive database. In
addition to the research results the paper also presents the equipment employed for experimenting.
The influence on the height of the removed material layer of various working factors
(concentration of the abrasive paste, eccentricity, relative speed, duration of machining, working
pressure) is discussed. [11]
3. CONCLUSIONS
The study shows that important parameter affecting the surface finish are Grit Size, Cutting Speed,
Abrasive Material, Pressure on the workpiece (load) and sfpm (Surface Feed per Minute).
Increasing the lapping load, lapping speed and paste concentration parameters causes a
corresponding increase in material removal rate. The increase of material removal rate is most
significant as the lapping speed parameter increases from 8.5 to 169 rpm. Further increasing the
speed to 270 and 500 rpm will not increase the material removal rate.
The most efficient lapping speeds range between 300 and 800 sfpm (Surface Feed per Minute),
whether it be the rotation of the lap or movement of the work over a stationery lap. Speeds lower
or higher than this range will reduce the rate of cut, but higher speeds will improve the surface
finish.
The increase of material removal rate is almost linear (proportional) with the increase of lapping
load throughout the parameter range. The amount of pressure applied depends considerably upon
the material being lapped, as well as the desired rate of cut and the finish specified. Lapping with
loose abrasives or prepared compounds, the pressure will range from 1 to 3 psi for soft materials,
including nonferrous metals and alloys and up to 10 psi for hard materials. Excessive pressures
will cause rapid breakdown and possibly score the work-piece.
IJESM Volume 2, Issue 1 ISSN: 2320-0294
________________________________________________________
A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories
Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A.
International Journal of Engineering, Science and Mathematics
http://www.ijmra.us
183
March
2013
The optimum lapping parameter combination within the experimental level ranges is found to be
high speed, high load, 60µm diamond particles and high paste concentration.
The most significant influencing parameter is lapping load, which accounts for 50% of the total
effect, followed by lapping speed (31%), particle size and the paste concentration parameters only
account for 12% and 7% respectively.
REFERENCES
[1] “Lapping and Polishing Basics” south bay technology 1120 Via Callejon, San Clemente CA
92673 USA.Voice: 949.492.2600
[2] Andrea Deaconescu “Robust Design – A Decision Making Tool In Machining by Lapping,”
“Transilvania” University of Brasov, Romania Vol. 8, nr. 3b(21b), November, 2007
[3] W.J. Zong, D.Li, T. Sun, K. Cheng, “Contact accuracy and orientations affecting the lapped tool
sharpness of diamond cutting tools by mechanical lapping,” 15 (2006) 1424–1433S. 3.
[4] Annals of DAAAM “Significant effect of variables on the efficiency of plane lapping of silicon
wafers by ANOVA table”
[5] Dominic S. Guevarra, Akira Kyusojin, Hiromi Isobe, Yoshiaki Kaneko ,“Development of a new
lapping method for high precision ball screw (1st
report) feasibility study of a prototyped lapping
tool for automatic lapping process” D.S. Guevarra et al. / Precision Engineering 25 (2001) 63-69
[6] Tetsuro IYAMA, Ikuo TANABE, Aung Lwin MOE, Kazuo YOSHI, “Development Of Intelligent
Lapping System Estimation Of Finished Surface Roughness and its Improvement Speed” Journal
Of Machine Engineering, Vol. 10, No. 1, 2010
[7] C.J. Evans, E.paul, D.Dornfeld “Material Removal Mechanisms in Lapping & Polishing”, STC
“G” Keynote, CIRP Annals, 52, 2, pp. 611-633
[8] J. Kang, M. Hadfield “Examination of the material removal mechanisms during the lapping
process of advanced ceramic rolling elements” wear 258 (2005) 2-12
[9] J. Kang, M. Hadfield “A novel eccentric lapping machine for finishing advance ceramic balls”
[10]J. Kang and M. Hadfield “Parameter optimization by Taguchi Methods for finishing advanced
ceramic balls using a novel eccentric lapping machine
[11]Deaconescu, A. &Deaconescu, T. “Robust Design Of Lapping Processes”, 6th International
DAAAM Baltic Conference, Industrial Engineering, 24-26 April 2008, Tallinn, Estonia
IJESM Volume 2, Issue 1 ISSN: 2320-0294
________________________________________________________
A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories
Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A.
International Journal of Engineering, Science and Mathematics
http://www.ijmra.us
184
March
2013
[12]Hand Book of Lapping & Polishing by Ioan D. Marinescu, EckartUhlmann, Toshiro K.
Doi ,CRC press
[13]Taguchi, G., Konishi, S. (1987), Taguchi Methods, Orthogonal Arrays and Linear Graphs,
American Supplier Institute, Dearborn, Michigan, USA
[14]Hand Book of NANOTECHNOLOGY “Integrated Processing Systems for Ultra-precision and
Ultra-fine Products” by-Norio Taniguchi OXFORD UNIVERSITY PRESS
[15]Dobrescu, T. (1996), Lapping Process of Silicon Wafers, Research Reports, LAPT, University of
Naples "FedericoII", Italy, pp. 31-34
[16]Sunil Jha and V. K. Jain, Nano-Finishing Techniques, Department of Mechanical Engineering
Indian Institute of Technology Kanpur, INDIA

More Related Content

What's hot

Wear Analysis of Tool in Milling YTL7D Steel
Wear Analysis of Tool in Milling YTL7D SteelWear Analysis of Tool in Milling YTL7D Steel
Wear Analysis of Tool in Milling YTL7D SteelIJRES Journal
 
A review on abrasive water jet cutting
A review on abrasive water jet cuttingA review on abrasive water jet cutting
A review on abrasive water jet cuttingijmech
 
An experiental investigation of effect of cutting parameters and tool materia...
An experiental investigation of effect of cutting parameters and tool materia...An experiental investigation of effect of cutting parameters and tool materia...
An experiental investigation of effect of cutting parameters and tool materia...eSAT Journals
 
An experiental investigation of effect of cutting parameters and tool materia...
An experiental investigation of effect of cutting parameters and tool materia...An experiental investigation of effect of cutting parameters and tool materia...
An experiental investigation of effect of cutting parameters and tool materia...eSAT Publishing House
 
Analysis of surface roughness on machining of al 5 cu alloy in cnc lathe machine
Analysis of surface roughness on machining of al 5 cu alloy in cnc lathe machineAnalysis of surface roughness on machining of al 5 cu alloy in cnc lathe machine
Analysis of surface roughness on machining of al 5 cu alloy in cnc lathe machineeSAT Journals
 
Analysis of surface roughness on machining of al 5 cu
Analysis of surface roughness on machining of al 5 cuAnalysis of surface roughness on machining of al 5 cu
Analysis of surface roughness on machining of al 5 cueSAT Publishing House
 
Fabrication of Pin on Disc Wear Test Rig
Fabrication of Pin on Disc Wear Test RigFabrication of Pin on Disc Wear Test Rig
Fabrication of Pin on Disc Wear Test Rigijtsrd
 
Study of effect of forging on cutting tool properties
Study of effect of forging on cutting tool propertiesStudy of effect of forging on cutting tool properties
Study of effect of forging on cutting tool propertiesIAEME Publication
 

What's hot (12)

B04520614
B04520614B04520614
B04520614
 
Wear Analysis of Tool in Milling YTL7D Steel
Wear Analysis of Tool in Milling YTL7D SteelWear Analysis of Tool in Milling YTL7D Steel
Wear Analysis of Tool in Milling YTL7D Steel
 
A review on abrasive water jet cutting
A review on abrasive water jet cuttingA review on abrasive water jet cutting
A review on abrasive water jet cutting
 
A review on Friction Stir Welding
A review on Friction Stir WeldingA review on Friction Stir Welding
A review on Friction Stir Welding
 
OPTIMIZATION OF QUALITY ENHANCEMENT OF CNC MACHINING PROCESS BY USING NEURA...
OPTIMIZATION OF QUALITY ENHANCEMENT  OF CNC MACHINING PROCESS BY USING  NEURA...OPTIMIZATION OF QUALITY ENHANCEMENT  OF CNC MACHINING PROCESS BY USING  NEURA...
OPTIMIZATION OF QUALITY ENHANCEMENT OF CNC MACHINING PROCESS BY USING NEURA...
 
An experiental investigation of effect of cutting parameters and tool materia...
An experiental investigation of effect of cutting parameters and tool materia...An experiental investigation of effect of cutting parameters and tool materia...
An experiental investigation of effect of cutting parameters and tool materia...
 
An experiental investigation of effect of cutting parameters and tool materia...
An experiental investigation of effect of cutting parameters and tool materia...An experiental investigation of effect of cutting parameters and tool materia...
An experiental investigation of effect of cutting parameters and tool materia...
 
Analysis of surface roughness on machining of al 5 cu alloy in cnc lathe machine
Analysis of surface roughness on machining of al 5 cu alloy in cnc lathe machineAnalysis of surface roughness on machining of al 5 cu alloy in cnc lathe machine
Analysis of surface roughness on machining of al 5 cu alloy in cnc lathe machine
 
Analysis of surface roughness on machining of al 5 cu
Analysis of surface roughness on machining of al 5 cuAnalysis of surface roughness on machining of al 5 cu
Analysis of surface roughness on machining of al 5 cu
 
Fabrication of Pin on Disc Wear Test Rig
Fabrication of Pin on Disc Wear Test RigFabrication of Pin on Disc Wear Test Rig
Fabrication of Pin on Disc Wear Test Rig
 
Dry Machining
Dry MachiningDry Machining
Dry Machining
 
Study of effect of forging on cutting tool properties
Study of effect of forging on cutting tool propertiesStudy of effect of forging on cutting tool properties
Study of effect of forging on cutting tool properties
 

Similar to “Improvement in Surface Finish by Lapping Process”- A Review

A STUDY ON GROUNDWATER QUALITY OF THIRUKALUKUNDRAM AREA, KANCHEEPURAM DISTRIC...
A STUDY ON GROUNDWATER QUALITY OF THIRUKALUKUNDRAM AREA, KANCHEEPURAM DISTRIC...A STUDY ON GROUNDWATER QUALITY OF THIRUKALUKUNDRAM AREA, KANCHEEPURAM DISTRIC...
A STUDY ON GROUNDWATER QUALITY OF THIRUKALUKUNDRAM AREA, KANCHEEPURAM DISTRIC...IJESM JOURNAL
 
Advancement in Abrasive Water Jet Machining - A Study
Advancement in Abrasive Water Jet Machining - A StudyAdvancement in Abrasive Water Jet Machining - A Study
Advancement in Abrasive Water Jet Machining - A Studyrahulmonikasharma
 
Life cycle assessment of construction materials using eio lca
Life cycle assessment of construction materials using eio lcaLife cycle assessment of construction materials using eio lca
Life cycle assessment of construction materials using eio lcaeSAT Journals
 
Review on investigation and optimization of turning process parameter in wet ...
Review on investigation and optimization of turning process parameter in wet ...Review on investigation and optimization of turning process parameter in wet ...
Review on investigation and optimization of turning process parameter in wet ...eSAT Publishing House
 
Abrasive water jet review and parameter selection by AHP method.
Abrasive water jet review and parameter selection by AHP method.Abrasive water jet review and parameter selection by AHP method.
Abrasive water jet review and parameter selection by AHP method.IOSR Journals
 
IRJET- Multi-Response Parametric Optimization During Turning of En-31 Bar usi...
IRJET- Multi-Response Parametric Optimization During Turning of En-31 Bar usi...IRJET- Multi-Response Parametric Optimization During Turning of En-31 Bar usi...
IRJET- Multi-Response Parametric Optimization During Turning of En-31 Bar usi...IRJET Journal
 
A03404001007
A03404001007A03404001007
A03404001007theijes
 
Effectiveness of multilayer coated tool in turning of aisi 430 f steel
Effectiveness of multilayer coated tool in turning of aisi 430 f steelEffectiveness of multilayer coated tool in turning of aisi 430 f steel
Effectiveness of multilayer coated tool in turning of aisi 430 f steeleSAT Publishing House
 
Investigations on Milling Tool: - A Literature Review
Investigations on Milling Tool: - A Literature ReviewInvestigations on Milling Tool: - A Literature Review
Investigations on Milling Tool: - A Literature ReviewIJRES Journal
 
Determination of flux consumption when reuse of slag as flux in submerged arc...
Determination of flux consumption when reuse of slag as flux in submerged arc...Determination of flux consumption when reuse of slag as flux in submerged arc...
Determination of flux consumption when reuse of slag as flux in submerged arc...IJESM JOURNAL
 
Surface quality enrichment using fine particle impact damper in boring operat...
Surface quality enrichment using fine particle impact damper in boring operat...Surface quality enrichment using fine particle impact damper in boring operat...
Surface quality enrichment using fine particle impact damper in boring operat...eSAT Journals
 
Parametric analysis and multi objective optimization of cutting parameters in...
Parametric analysis and multi objective optimization of cutting parameters in...Parametric analysis and multi objective optimization of cutting parameters in...
Parametric analysis and multi objective optimization of cutting parameters in...eSAT Publishing House
 
Parametric analysis and multi objective optimization of cutting parameters in...
Parametric analysis and multi objective optimization of cutting parameters in...Parametric analysis and multi objective optimization of cutting parameters in...
Parametric analysis and multi objective optimization of cutting parameters in...eSAT Journals
 
Experimental and numerical study of defects in pressuresed cylindrical shells...
Experimental and numerical study of defects in pressuresed cylindrical shells...Experimental and numerical study of defects in pressuresed cylindrical shells...
Experimental and numerical study of defects in pressuresed cylindrical shells...eSAT Journals
 
Modeling and optimization of end milling machining process
Modeling and optimization of end milling machining processModeling and optimization of end milling machining process
Modeling and optimization of end milling machining processeSAT Publishing House
 
Modeling and optimization of end milling machining process
Modeling and optimization of end milling machining processModeling and optimization of end milling machining process
Modeling and optimization of end milling machining processeSAT Journals
 
An overview of stress analysis of high energy pipeline systems used in therma...
An overview of stress analysis of high energy pipeline systems used in therma...An overview of stress analysis of high energy pipeline systems used in therma...
An overview of stress analysis of high energy pipeline systems used in therma...eSAT Publishing House
 
Prediction of tool wear during turning of en9 work material against coated ca...
Prediction of tool wear during turning of en9 work material against coated ca...Prediction of tool wear during turning of en9 work material against coated ca...
Prediction of tool wear during turning of en9 work material against coated ca...eSAT Publishing House
 
EROSION BEHAVIOUR OF STAINLESS STEEL
EROSION BEHAVIOUR OF STAINLESS STEELEROSION BEHAVIOUR OF STAINLESS STEEL
EROSION BEHAVIOUR OF STAINLESS STEELIRJET Journal
 

Similar to “Improvement in Surface Finish by Lapping Process”- A Review (20)

A STUDY ON GROUNDWATER QUALITY OF THIRUKALUKUNDRAM AREA, KANCHEEPURAM DISTRIC...
A STUDY ON GROUNDWATER QUALITY OF THIRUKALUKUNDRAM AREA, KANCHEEPURAM DISTRIC...A STUDY ON GROUNDWATER QUALITY OF THIRUKALUKUNDRAM AREA, KANCHEEPURAM DISTRIC...
A STUDY ON GROUNDWATER QUALITY OF THIRUKALUKUNDRAM AREA, KANCHEEPURAM DISTRIC...
 
Advancement in Abrasive Water Jet Machining - A Study
Advancement in Abrasive Water Jet Machining - A StudyAdvancement in Abrasive Water Jet Machining - A Study
Advancement in Abrasive Water Jet Machining - A Study
 
Life cycle assessment of construction materials using eio lca
Life cycle assessment of construction materials using eio lcaLife cycle assessment of construction materials using eio lca
Life cycle assessment of construction materials using eio lca
 
Review on investigation and optimization of turning process parameter in wet ...
Review on investigation and optimization of turning process parameter in wet ...Review on investigation and optimization of turning process parameter in wet ...
Review on investigation and optimization of turning process parameter in wet ...
 
Abrasive water jet review and parameter selection by AHP method.
Abrasive water jet review and parameter selection by AHP method.Abrasive water jet review and parameter selection by AHP method.
Abrasive water jet review and parameter selection by AHP method.
 
IRJET- Multi-Response Parametric Optimization During Turning of En-31 Bar usi...
IRJET- Multi-Response Parametric Optimization During Turning of En-31 Bar usi...IRJET- Multi-Response Parametric Optimization During Turning of En-31 Bar usi...
IRJET- Multi-Response Parametric Optimization During Turning of En-31 Bar usi...
 
A03404001007
A03404001007A03404001007
A03404001007
 
Effectiveness of multilayer coated tool in turning of aisi 430 f steel
Effectiveness of multilayer coated tool in turning of aisi 430 f steelEffectiveness of multilayer coated tool in turning of aisi 430 f steel
Effectiveness of multilayer coated tool in turning of aisi 430 f steel
 
Investigations on Milling Tool: - A Literature Review
Investigations on Milling Tool: - A Literature ReviewInvestigations on Milling Tool: - A Literature Review
Investigations on Milling Tool: - A Literature Review
 
Determination of flux consumption when reuse of slag as flux in submerged arc...
Determination of flux consumption when reuse of slag as flux in submerged arc...Determination of flux consumption when reuse of slag as flux in submerged arc...
Determination of flux consumption when reuse of slag as flux in submerged arc...
 
Surface quality enrichment using fine particle impact damper in boring operat...
Surface quality enrichment using fine particle impact damper in boring operat...Surface quality enrichment using fine particle impact damper in boring operat...
Surface quality enrichment using fine particle impact damper in boring operat...
 
Parametric analysis and multi objective optimization of cutting parameters in...
Parametric analysis and multi objective optimization of cutting parameters in...Parametric analysis and multi objective optimization of cutting parameters in...
Parametric analysis and multi objective optimization of cutting parameters in...
 
Parametric analysis and multi objective optimization of cutting parameters in...
Parametric analysis and multi objective optimization of cutting parameters in...Parametric analysis and multi objective optimization of cutting parameters in...
Parametric analysis and multi objective optimization of cutting parameters in...
 
Experimental and numerical study of defects in pressuresed cylindrical shells...
Experimental and numerical study of defects in pressuresed cylindrical shells...Experimental and numerical study of defects in pressuresed cylindrical shells...
Experimental and numerical study of defects in pressuresed cylindrical shells...
 
Modeling and optimization of end milling machining process
Modeling and optimization of end milling machining processModeling and optimization of end milling machining process
Modeling and optimization of end milling machining process
 
Modeling and optimization of end milling machining process
Modeling and optimization of end milling machining processModeling and optimization of end milling machining process
Modeling and optimization of end milling machining process
 
Modal analysis of annular disc
Modal analysis of annular discModal analysis of annular disc
Modal analysis of annular disc
 
An overview of stress analysis of high energy pipeline systems used in therma...
An overview of stress analysis of high energy pipeline systems used in therma...An overview of stress analysis of high energy pipeline systems used in therma...
An overview of stress analysis of high energy pipeline systems used in therma...
 
Prediction of tool wear during turning of en9 work material against coated ca...
Prediction of tool wear during turning of en9 work material against coated ca...Prediction of tool wear during turning of en9 work material against coated ca...
Prediction of tool wear during turning of en9 work material against coated ca...
 
EROSION BEHAVIOUR OF STAINLESS STEEL
EROSION BEHAVIOUR OF STAINLESS STEELEROSION BEHAVIOUR OF STAINLESS STEEL
EROSION BEHAVIOUR OF STAINLESS STEEL
 

More from IJESM JOURNAL

SEMI-PARAMETRIC ESTIMATION OF Px,y({(x,y)/x >y}) FOR THE POWER FUNCTION DISTR...
SEMI-PARAMETRIC ESTIMATION OF Px,y({(x,y)/x >y}) FOR THE POWER FUNCTION DISTR...SEMI-PARAMETRIC ESTIMATION OF Px,y({(x,y)/x >y}) FOR THE POWER FUNCTION DISTR...
SEMI-PARAMETRIC ESTIMATION OF Px,y({(x,y)/x >y}) FOR THE POWER FUNCTION DISTR...IJESM JOURNAL
 
Comparative study of Terminating Newton Iterations: in Solving ODEs
Comparative study of Terminating Newton Iterations: in Solving ODEsComparative study of Terminating Newton Iterations: in Solving ODEs
Comparative study of Terminating Newton Iterations: in Solving ODEsIJESM JOURNAL
 
ON HOMOGENEOUS TERNARY QUADRATIC DIOPHANTINE EQUATION
ON HOMOGENEOUS TERNARY QUADRATIC DIOPHANTINE EQUATIONON HOMOGENEOUS TERNARY QUADRATIC DIOPHANTINE EQUATION
ON HOMOGENEOUS TERNARY QUADRATIC DIOPHANTINE EQUATIONIJESM JOURNAL
 
HOMOGENOUS BI-QUADRATIC WITH FIVE UNKNOWNS
HOMOGENOUS BI-QUADRATIC WITH FIVE UNKNOWNSHOMOGENOUS BI-QUADRATIC WITH FIVE UNKNOWNS
HOMOGENOUS BI-QUADRATIC WITH FIVE UNKNOWNSIJESM JOURNAL
 
STUDY OF RECESSIONAL PATTERN OF GLACIERS OF DHAULIGANGA VALLEY, UTTRAKHAND, I...
STUDY OF RECESSIONAL PATTERN OF GLACIERS OF DHAULIGANGA VALLEY, UTTRAKHAND, I...STUDY OF RECESSIONAL PATTERN OF GLACIERS OF DHAULIGANGA VALLEY, UTTRAKHAND, I...
STUDY OF RECESSIONAL PATTERN OF GLACIERS OF DHAULIGANGA VALLEY, UTTRAKHAND, I...IJESM JOURNAL
 
Implementation Of TPM with Case study
Implementation Of TPM with Case studyImplementation Of TPM with Case study
Implementation Of TPM with Case studyIJESM JOURNAL
 
DIFFERENTIAL OPERATORS AND STABILITY ANALYSIS OF THE WAGE FUNCTION
DIFFERENTIAL OPERATORS AND STABILITY ANALYSIS OF THE WAGE FUNCTIONDIFFERENTIAL OPERATORS AND STABILITY ANALYSIS OF THE WAGE FUNCTION
DIFFERENTIAL OPERATORS AND STABILITY ANALYSIS OF THE WAGE FUNCTIONIJESM JOURNAL
 
Vocal Translation For Muteness People Using Speech Synthesizer
Vocal Translation For Muteness People Using Speech SynthesizerVocal Translation For Muteness People Using Speech Synthesizer
Vocal Translation For Muteness People Using Speech SynthesizerIJESM JOURNAL
 
Green supply chain management in Indian Electronics & Telecommunication Industry
Green supply chain management in Indian Electronics & Telecommunication IndustryGreen supply chain management in Indian Electronics & Telecommunication Industry
Green supply chain management in Indian Electronics & Telecommunication IndustryIJESM JOURNAL
 
BER Performance Analysis of Open and Closed Loop Power Control in LTE
BER Performance Analysis of Open and Closed Loop Power Control in LTEBER Performance Analysis of Open and Closed Loop Power Control in LTE
BER Performance Analysis of Open and Closed Loop Power Control in LTEIJESM JOURNAL
 
Rainfall Trends and Variability in Tamil Nadu (1983 - 2012): Indicator of Cli...
Rainfall Trends and Variability in Tamil Nadu (1983 - 2012): Indicator of Cli...Rainfall Trends and Variability in Tamil Nadu (1983 - 2012): Indicator of Cli...
Rainfall Trends and Variability in Tamil Nadu (1983 - 2012): Indicator of Cli...IJESM JOURNAL
 
The Political, Legal & Technological Environment in Global Scenario
The Political, Legal & Technological Environment in Global ScenarioThe Political, Legal & Technological Environment in Global Scenario
The Political, Legal & Technological Environment in Global ScenarioIJESM JOURNAL
 
SUPPLIER SELECTION AND EVALUATION – AN INTEGRATED APPROACH OF QFD & AHP
SUPPLIER SELECTION AND EVALUATION – AN INTEGRATED APPROACH OF QFD & AHPSUPPLIER SELECTION AND EVALUATION – AN INTEGRATED APPROACH OF QFD & AHP
SUPPLIER SELECTION AND EVALUATION – AN INTEGRATED APPROACH OF QFD & AHPIJESM JOURNAL
 
FURTHER CHARACTERIZATIONS AND SOME APPLICATIONS OF UPPER AND LOWER WEAKLY QUA...
FURTHER CHARACTERIZATIONS AND SOME APPLICATIONS OF UPPER AND LOWER WEAKLY QUA...FURTHER CHARACTERIZATIONS AND SOME APPLICATIONS OF UPPER AND LOWER WEAKLY QUA...
FURTHER CHARACTERIZATIONS AND SOME APPLICATIONS OF UPPER AND LOWER WEAKLY QUA...IJESM JOURNAL
 
INVARIANCE OF SEPARATION AXIOMS IN ISOTONIC SPACES WITH RESPECT TO PERFECT MA...
INVARIANCE OF SEPARATION AXIOMS IN ISOTONIC SPACES WITH RESPECT TO PERFECT MA...INVARIANCE OF SEPARATION AXIOMS IN ISOTONIC SPACES WITH RESPECT TO PERFECT MA...
INVARIANCE OF SEPARATION AXIOMS IN ISOTONIC SPACES WITH RESPECT TO PERFECT MA...IJESM JOURNAL
 
MATHEMATICAL MODELLING OF POWER OUTPUT IN A WIND ENERGY CONVERSION SYSTEM
MATHEMATICAL MODELLING OF POWER OUTPUT IN A WIND ENERGY CONVERSION SYSTEMMATHEMATICAL MODELLING OF POWER OUTPUT IN A WIND ENERGY CONVERSION SYSTEM
MATHEMATICAL MODELLING OF POWER OUTPUT IN A WIND ENERGY CONVERSION SYSTEMIJESM JOURNAL
 
INTRODUCING AN INTEGRATING FACTOR IN STUDYING THE WAGE EQUATION
INTRODUCING AN INTEGRATING FACTOR IN STUDYING THE WAGE EQUATIONINTRODUCING AN INTEGRATING FACTOR IN STUDYING THE WAGE EQUATION
INTRODUCING AN INTEGRATING FACTOR IN STUDYING THE WAGE EQUATIONIJESM JOURNAL
 
Vocal Translation For Muteness People Using Speech Synthesizer
Vocal Translation For Muteness People Using Speech SynthesizerVocal Translation For Muteness People Using Speech Synthesizer
Vocal Translation For Muteness People Using Speech SynthesizerIJESM JOURNAL
 
ANALYTICAL STUDY OF WAVE MOTION OF A FALLING LIQUID FILM PAST A VERTICAL PLATE
ANALYTICAL STUDY OF WAVE MOTION OF A FALLING LIQUID FILM PAST A VERTICAL PLATEANALYTICAL STUDY OF WAVE MOTION OF A FALLING LIQUID FILM PAST A VERTICAL PLATE
ANALYTICAL STUDY OF WAVE MOTION OF A FALLING LIQUID FILM PAST A VERTICAL PLATEIJESM JOURNAL
 
APPLICATION OF THE METHOD OF VARIATION OF PARAMETERS: MATHEMATICAL MODEL FOR ...
APPLICATION OF THE METHOD OF VARIATION OF PARAMETERS: MATHEMATICAL MODEL FOR ...APPLICATION OF THE METHOD OF VARIATION OF PARAMETERS: MATHEMATICAL MODEL FOR ...
APPLICATION OF THE METHOD OF VARIATION OF PARAMETERS: MATHEMATICAL MODEL FOR ...IJESM JOURNAL
 

More from IJESM JOURNAL (20)

SEMI-PARAMETRIC ESTIMATION OF Px,y({(x,y)/x >y}) FOR THE POWER FUNCTION DISTR...
SEMI-PARAMETRIC ESTIMATION OF Px,y({(x,y)/x >y}) FOR THE POWER FUNCTION DISTR...SEMI-PARAMETRIC ESTIMATION OF Px,y({(x,y)/x >y}) FOR THE POWER FUNCTION DISTR...
SEMI-PARAMETRIC ESTIMATION OF Px,y({(x,y)/x >y}) FOR THE POWER FUNCTION DISTR...
 
Comparative study of Terminating Newton Iterations: in Solving ODEs
Comparative study of Terminating Newton Iterations: in Solving ODEsComparative study of Terminating Newton Iterations: in Solving ODEs
Comparative study of Terminating Newton Iterations: in Solving ODEs
 
ON HOMOGENEOUS TERNARY QUADRATIC DIOPHANTINE EQUATION
ON HOMOGENEOUS TERNARY QUADRATIC DIOPHANTINE EQUATIONON HOMOGENEOUS TERNARY QUADRATIC DIOPHANTINE EQUATION
ON HOMOGENEOUS TERNARY QUADRATIC DIOPHANTINE EQUATION
 
HOMOGENOUS BI-QUADRATIC WITH FIVE UNKNOWNS
HOMOGENOUS BI-QUADRATIC WITH FIVE UNKNOWNSHOMOGENOUS BI-QUADRATIC WITH FIVE UNKNOWNS
HOMOGENOUS BI-QUADRATIC WITH FIVE UNKNOWNS
 
STUDY OF RECESSIONAL PATTERN OF GLACIERS OF DHAULIGANGA VALLEY, UTTRAKHAND, I...
STUDY OF RECESSIONAL PATTERN OF GLACIERS OF DHAULIGANGA VALLEY, UTTRAKHAND, I...STUDY OF RECESSIONAL PATTERN OF GLACIERS OF DHAULIGANGA VALLEY, UTTRAKHAND, I...
STUDY OF RECESSIONAL PATTERN OF GLACIERS OF DHAULIGANGA VALLEY, UTTRAKHAND, I...
 
Implementation Of TPM with Case study
Implementation Of TPM with Case studyImplementation Of TPM with Case study
Implementation Of TPM with Case study
 
DIFFERENTIAL OPERATORS AND STABILITY ANALYSIS OF THE WAGE FUNCTION
DIFFERENTIAL OPERATORS AND STABILITY ANALYSIS OF THE WAGE FUNCTIONDIFFERENTIAL OPERATORS AND STABILITY ANALYSIS OF THE WAGE FUNCTION
DIFFERENTIAL OPERATORS AND STABILITY ANALYSIS OF THE WAGE FUNCTION
 
Vocal Translation For Muteness People Using Speech Synthesizer
Vocal Translation For Muteness People Using Speech SynthesizerVocal Translation For Muteness People Using Speech Synthesizer
Vocal Translation For Muteness People Using Speech Synthesizer
 
Green supply chain management in Indian Electronics & Telecommunication Industry
Green supply chain management in Indian Electronics & Telecommunication IndustryGreen supply chain management in Indian Electronics & Telecommunication Industry
Green supply chain management in Indian Electronics & Telecommunication Industry
 
BER Performance Analysis of Open and Closed Loop Power Control in LTE
BER Performance Analysis of Open and Closed Loop Power Control in LTEBER Performance Analysis of Open and Closed Loop Power Control in LTE
BER Performance Analysis of Open and Closed Loop Power Control in LTE
 
Rainfall Trends and Variability in Tamil Nadu (1983 - 2012): Indicator of Cli...
Rainfall Trends and Variability in Tamil Nadu (1983 - 2012): Indicator of Cli...Rainfall Trends and Variability in Tamil Nadu (1983 - 2012): Indicator of Cli...
Rainfall Trends and Variability in Tamil Nadu (1983 - 2012): Indicator of Cli...
 
The Political, Legal & Technological Environment in Global Scenario
The Political, Legal & Technological Environment in Global ScenarioThe Political, Legal & Technological Environment in Global Scenario
The Political, Legal & Technological Environment in Global Scenario
 
SUPPLIER SELECTION AND EVALUATION – AN INTEGRATED APPROACH OF QFD & AHP
SUPPLIER SELECTION AND EVALUATION – AN INTEGRATED APPROACH OF QFD & AHPSUPPLIER SELECTION AND EVALUATION – AN INTEGRATED APPROACH OF QFD & AHP
SUPPLIER SELECTION AND EVALUATION – AN INTEGRATED APPROACH OF QFD & AHP
 
FURTHER CHARACTERIZATIONS AND SOME APPLICATIONS OF UPPER AND LOWER WEAKLY QUA...
FURTHER CHARACTERIZATIONS AND SOME APPLICATIONS OF UPPER AND LOWER WEAKLY QUA...FURTHER CHARACTERIZATIONS AND SOME APPLICATIONS OF UPPER AND LOWER WEAKLY QUA...
FURTHER CHARACTERIZATIONS AND SOME APPLICATIONS OF UPPER AND LOWER WEAKLY QUA...
 
INVARIANCE OF SEPARATION AXIOMS IN ISOTONIC SPACES WITH RESPECT TO PERFECT MA...
INVARIANCE OF SEPARATION AXIOMS IN ISOTONIC SPACES WITH RESPECT TO PERFECT MA...INVARIANCE OF SEPARATION AXIOMS IN ISOTONIC SPACES WITH RESPECT TO PERFECT MA...
INVARIANCE OF SEPARATION AXIOMS IN ISOTONIC SPACES WITH RESPECT TO PERFECT MA...
 
MATHEMATICAL MODELLING OF POWER OUTPUT IN A WIND ENERGY CONVERSION SYSTEM
MATHEMATICAL MODELLING OF POWER OUTPUT IN A WIND ENERGY CONVERSION SYSTEMMATHEMATICAL MODELLING OF POWER OUTPUT IN A WIND ENERGY CONVERSION SYSTEM
MATHEMATICAL MODELLING OF POWER OUTPUT IN A WIND ENERGY CONVERSION SYSTEM
 
INTRODUCING AN INTEGRATING FACTOR IN STUDYING THE WAGE EQUATION
INTRODUCING AN INTEGRATING FACTOR IN STUDYING THE WAGE EQUATIONINTRODUCING AN INTEGRATING FACTOR IN STUDYING THE WAGE EQUATION
INTRODUCING AN INTEGRATING FACTOR IN STUDYING THE WAGE EQUATION
 
Vocal Translation For Muteness People Using Speech Synthesizer
Vocal Translation For Muteness People Using Speech SynthesizerVocal Translation For Muteness People Using Speech Synthesizer
Vocal Translation For Muteness People Using Speech Synthesizer
 
ANALYTICAL STUDY OF WAVE MOTION OF A FALLING LIQUID FILM PAST A VERTICAL PLATE
ANALYTICAL STUDY OF WAVE MOTION OF A FALLING LIQUID FILM PAST A VERTICAL PLATEANALYTICAL STUDY OF WAVE MOTION OF A FALLING LIQUID FILM PAST A VERTICAL PLATE
ANALYTICAL STUDY OF WAVE MOTION OF A FALLING LIQUID FILM PAST A VERTICAL PLATE
 
APPLICATION OF THE METHOD OF VARIATION OF PARAMETERS: MATHEMATICAL MODEL FOR ...
APPLICATION OF THE METHOD OF VARIATION OF PARAMETERS: MATHEMATICAL MODEL FOR ...APPLICATION OF THE METHOD OF VARIATION OF PARAMETERS: MATHEMATICAL MODEL FOR ...
APPLICATION OF THE METHOD OF VARIATION OF PARAMETERS: MATHEMATICAL MODEL FOR ...
 

Recently uploaded

notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptMsecMca
 
Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapRishantSharmaFr
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTbhaskargani46
 
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Call Girls Mumbai
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationBhangaleSonal
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfJiananWang21
 
Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086anil_gaur
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXssuser89054b
 
AIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsAIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsvanyagupta248
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxmaisarahman1
 
Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.Kamal Acharya
 
DeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakesDeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakesMayuraD1
 
Learn the concepts of Thermodynamics on Magic Marks
Learn the concepts of Thermodynamics on Magic MarksLearn the concepts of Thermodynamics on Magic Marks
Learn the concepts of Thermodynamics on Magic MarksMagic Marks
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueBhangaleSonal
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Arindam Chakraborty, Ph.D., P.E. (CA, TX)
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptxJIT KUMAR GUPTA
 
kiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadkiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadhamedmustafa094
 
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKARHAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKARKOUSTAV SARKAR
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdfKamal Acharya
 

Recently uploaded (20)

notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
 
Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leap
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPT
 
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equation
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 
Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
AIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsAIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech students
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
 
Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.
 
DeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakesDeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakes
 
Learn the concepts of Thermodynamics on Magic Marks
Learn the concepts of Thermodynamics on Magic MarksLearn the concepts of Thermodynamics on Magic Marks
Learn the concepts of Thermodynamics on Magic Marks
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
 
kiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadkiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal load
 
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKARHAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdf
 

“Improvement in Surface Finish by Lapping Process”- A Review

  • 1. IJESM Volume 2, Issue 1 ISSN: 2320-0294 ________________________________________________________ A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A. International Journal of Engineering, Science and Mathematics http://www.ijmra.us 175 March 2013 “Improvement in Surface Finish by Lapping Process”- A Review Krunal P. Modi Prof. S. P. Joshi Prof. (Dr.) P. M. George Abstract Surface finish is the allowable deviation from a perfectly flat surface that is made by some manufacturing process. All machining processes will produces some roughness on the surface. This roughness is attributed to cutting tool, material removal rate, environmental conditions and the type of material. . In the era of nanotechnology, deterministic high precision finishing methods are of utmost importance and are the need of present manufacturing scenario.The surface finish may have implications for friction, wear, and maintenance or corrosion resistance and must, therefore, also be carefully chosen and clearly specified. Peaks and valleys can be measured and used to define the condition of the surface. The attempts are made to achieve Nano finish using super finishing processes like lapping. This will reduce cost and time. The trend has motivated to carry out a review on such research work. Such review will help in forming the basis for an intended research work in the similar direction. Keywords- Lapping, Nano finish, Cutting Speed, Abrasive Material  M.E. Student Mechanical Engineering Department, Birla Vishvakarma Mahavidhyalaya (BVM), Vallabh Vidyanagar, Anand, Gujarat, India  AssociateProf. in Mechanical Engineering Department, Birla Vishvakarma Mahavidhyalaya (BVM), Vallabh Vidyanagar, Anand, Gujarat, India  Head of Mechanical Engineering Department, Birla Vishvakarma Mahavidhyalaya (BVM), Vallabh Vidyanagar, Anand, Gujarat, India
  • 2. IJESM Volume 2, Issue 1 ISSN: 2320-0294 ________________________________________________________ A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A. International Journal of Engineering, Science and Mathematics http://www.ijmra.us 176 March 2013 1.INTRODUCTION Surface finish is an important element in any specification of stainless steel regardless of the intended use. For those applications where Appearance is important; finish is a design element and must be specified. In non-decorative applications the surface finish may have implications for friction, wear, and maintenance or corrosion resistance and must, therefore, also be carefully chosen and clearly specified. The choice of finish should never be left to the supplier, or the specification loosely worded, such as “Type 304 with a 180 grit finish”. The finish should be properly identified by a standard industry designation or by a trade name therefore, also be carefully chosen and clearly specified. The machining processes were classifieds into three categories on the basis of achievable accuracy viz. (1) Conventional machining (2) precision machining (3) Ultra-precision machining Ultra precision machining are the processes by which the highest possible dimensional accuracy is, or has been achieved at a given point of time. It has been predicted that by 2000 AD, machining accuracies in conventional processes would reach 1 µm, while in precision and ultra- precision machining would reach0.01µm (10nm) and 0.001µm (1nm) respectively. These accuracy targets for today’s ultra-precision machining can’t be achieved by simple extension of conventional machining processes and techniques.
  • 3. IJESM Volume 2, Issue 1 ISSN: 2320-0294 ________________________________________________________ A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A. International Journal of Engineering, Science and Mathematics http://www.ijmra.us 177 March 2013 Fig.1 Achievable machining Accuracy [16] Surface finish is generally broken up into three components such as roughness, waviness, and form.  Roughness is generally the machined marks made on a surface by the cutting tool.  Waviness is the result of the vibration of the tool.  Form surface irregularities caused by worn off machine bad, table etc. All three surface finish components exist simultaneously. They simply overlap one another. We often look at each (roughness, waviness, and form) separately, so we make the assumption that roughness has a shorter wavelength than waviness, which in turn has a shorter wavelength than form. Ra = the average variation from mean line. Rt = Distance from the highest peak to the deepest valley. Rz = the average Rt over a given length. Rz= (Rp1+Rp2+Rp3)+(Rv1+Rv2+Rv3) / 3 Rp = the highest peak above the mean line. Rv = The deepest valley below the mean line. 1.1. LAPPING PROCESS There are several techniques used for removing material from a particular workpiece (also called specimen in this discussion).Grinding, lapping, polishing, and CMP (chem.-mechanical polishing) are all techniques used for precise removal of material. The lapping process is one of the
  • 4. IJESM Volume 2, Issue 1 ISSN: 2320-0294 ________________________________________________________ A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A. International Journal of Engineering, Science and Mathematics http://www.ijmra.us 178 March 2013 traditional finishing processes. Lapping is a process by which material is precisely removed from a workpiece (or specimen) to produce a desired dimension, surface finish, or shape. Several materials were machined as mirror like surface using the system. The process of lapping materials has been applied to a wide range of materials and applications, ranging from metals, glasses, optics, semiconductors, and ceramics. Lapping technique is beneficial due to the precision and control with which material can be removed. Surface finishesin the Nano meter range can also be produced using this technique, which makes lapping an attractive method for materials processing.Lapping is the removal of material to produce a smooth, flat, unpolished surface. Lapping processes are used to produce dimensionally accurate specimens to high tolerances (generally less than 2.5µm uniformity). The lapping plate will rotate at a low speed (<80 rpm) and a mid-range abrasive particle (5-20µm) is typically used. Lapping removes subsurface damage caused by sawing or grinding and produces the required thickness and flatness. Although the lapping process is less damaging than grinding, there are two regimes of lapping: free abrasive lapping and fixed abrasive lapping.Free Abrasive Lapping is when abrasive slurry is applied directly to a lapping plate (e.g. cast iron). This is perhaps the most accurate method for producing specimens and causes the least amount of damage. Free abrasive lapping is accurate because of the rigid lapping surface which can be tailored to suit a particular material.Fixed Abrasive Lapping is when an abrasive particle in bonded to a substrate as with abrasive lapping films and SiC (silicon carbide) papers. Abrasive lapping films have various particles bonded to a thin, uniform polyester substrate and are also capable of producing a very flat surface. SiC papers are much thicker than the film and create the potential for rounded edges on the sample.[1] 1.1.1 Abrasive Types There is a wide selection of abrasives to choose from when selecting a lapping process. Selecting an abrasive is dependent upon the specimen hardness, desired surface finish, desired removal rate, life time and price. There are four basic types of abrasives that are used in lapping processes:Silicon Carbide (SiC), Aluminum Oxide Or Alumina (AlO), Boron Carbide (B C), Diamond (C) MATERIAL HARDNESS (KNOOP 100) DENSITY SILICON CARBIDE (SIC) 2450 3.22
  • 5. IJESM Volume 2, Issue 1 ISSN: 2320-0294 ________________________________________________________ A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A. International Journal of Engineering, Science and Mathematics http://www.ijmra.us 179 March 2013 Table 1: Various Abrasive Materials and Associated All of these abrasives have distinct properties and are used for different materials and applications.  Silicon Carbide (SiC):SiC is hard and generally has a needle or blocky structure. Sic is used in many applications where rough lapping is required. It seldom is used for polishing or applications that require smooth surface finishes. Silicon carbide is generally used where rapid stock removal is a requirement.  Aluminum Oxide Or Alumina (AlO) :AlO is relatively hard and has a sharp, angular structure. Alumina is commonly used where fine surface finishes are required as it breaks down over time and gives excellent surfaces during lapping. Alumina is also relatively inexpensive. Fused aluminium oxide is softer than silicon carbide and is used to improve the finish, especially in lapping comparatively soft metals such as steel and nonferrous metals. Un-fused aluminum oxide is very soft and breaks down rapidly under lapping pressures. It is inefficient for stockremoval, but especially suitable for producing extremely fine finishes.  Boron Carbide (BC): BC is harder than most other abrasives (excluding diamond) and has a blocky crystal structure. BC provides excellent removal rates and is typically used when fast removal with moderate surface quality is needed.  Diamond (C): Diamond is the hardest material known and has a sharp, angular structure. Diamond is extremely useful in lapping due to its removal rates and surface finishing qualities. Diamond can produce excellent surface finishes combined with high removal rates.[1] 1.1.2. ABRASIVE SIZE Abrasive size referred to as grits, affects the amount of work achieved as well as the finish produced.Coarse abrasive sizes range between 8-60grit. Coarser grits remove significant material and leave coarser finishes. The coarser grit sizes are a good choice for large weld removal, de- flashing, and de-gating castings, and remove also large amounts of stock.Medium abrasive sizes range between 80-150grits. Medium grits will also remove affair amount of material and leave finer and paintable surfaces. They are also good for spot weld removal radiusing, deburring and ALUMINA (AlO) 2000 3.97 BORON CARBIDE (BC) 3000 2.51 DIAMOND ( C ) 6000 3.51
  • 6. IJESM Volume 2, Issue 1 ISSN: 2320-0294 ________________________________________________________ A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A. International Journal of Engineering, Science and Mathematics http://www.ijmra.us 180 March 2013 finer weld removal. Finer abrasive sizes range between180-400 and super fine up to1200 grits, the material removal is less but are capable of maintaining good rms finishes.[1] 1.1.3. Lapping Plates Lapping processes are performed on a hard, metal plate used in conjunction with abrasive suspensions such as diamond, silicon carbide (SiC), aluminum oxide (Al O), or boron carbide (BC). The metal lapping plate selected depends upon the desired material removal rate, the surface finish desired, the hardness of the specimen being lapped, and the flatness requirement. Plate selection can play a critical role in the production of high quality specimens. Lapping plates can be flat or grooved depending upon the desired application. Grooved plates provide greater removal rates and prevent the abrasive from squeezing out from between the plate and the specimen. 2. LITERATURE REVIEW In this study, a computer-based intelligent decision support system designed to achieve the optimization of the lapping of plane surfaces, by the Taguchi’s method of arrays of experiments. [2] In this work,the mechanical lapping process of diamond cutting tools aims to investigate the influence of tool face orientations and the effects of contact accuracy betweenlapped tool surface and rapid rotating scaife’s surface on the sharpened cutting edge radius. [3] In this study, the plane lapping was performed and analysed by ANOVA table. As a result, effective variables and interaction effects were identified and discussed. Also the optimal variable combination to obtain the largest percentage improvement of surface roughness was selected and confirmatory experiments were performed. Important variables lapping efficiency are abrasive grain size, lapping pressure, lapping speed, quantity of lapping compound supplied and viscosity of the compound, etc. [4] In this study, Ball screws are being lapped as a finishing process to improve the travel variation, and surface finish in order to provide high precision requirements in various mechanical
  • 7. IJESM Volume 2, Issue 1 ISSN: 2320-0294 ________________________________________________________ A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A. International Journal of Engineering, Science and Mathematics http://www.ijmra.us 181 March 2013 applications. However, the existing manufacturing method is very labour intensive that needs a highly skilled machinist to perform the hand lapping operations using the conventional laps which have two or three slits.[5] In this study, they developed an automatic lapping system for moulds and dies. The lapping system consists of simple lapping tools and conventional milling machine. Several materials were machined as mirror like surface using the system. In this study, an intelligent lapping system with the optimum conditions calculated by the lapping model was developed. Specifically, the relationship between the finished quality (surface roughness Rz and improvement rate of Rz) and each parameter of the system, Vickers hardness of work piece and lapping head, lapping pressure, grain size, is investigated. Then, an intelligent lapping system is developed. [6] In this study, the fundamental mechanism of material removal in lapping process and identifies key areas where further work is required. [7] In this study, two types of HIPed Si3N4 bearing ball blanks with different surface hardness and fracture toughness were lapped under various loads, speeds, and lubricants using a novel eccentric lapping machine. The lapped surfaces were examined by optical microscope and SEM Different lapping fluids affected the material removal rate at lower lapping loads, but they had much less influence on the material removal rate at higher lapping loads. The preliminary conclusion is that the material removal mechanism during the lapping process of silicon nitride balls using this eccentric lapping machine is mainly mechanical abrasive wear. [8] In this study,advanced ceramic balls are used extensively in hybrid precision ball bearings and show advantages in high speed, high temperature, high load and hostile environment. Finishing these balls with high quality, good efficiency and low cost is critical to their widespread application. A brief review on the methods of finishing ceramic balls is presented. The design of a novel eccentric lapping machine for finishing advanced ceramic balls is described in this paper. [9] In this study, it is estimated that the final finishing process and associated handling and inspection of advanced ceramic balls constitutes two thirds of the total manufacturing cost. Finishing
  • 8. IJESM Volume 2, Issue 1 ISSN: 2320-0294 ________________________________________________________ A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A. International Journal of Engineering, Science and Mathematics http://www.ijmra.us 182 March 2013 advanced ceramic balls at low cost and efficiency while maintaining high surface quality to ensure long fatigue life is critical to its widespread application. [10] In this study, the paper presents experimental research results obtained by plane surface lapping. Experiments were aimed at measuring the height of the removed material layer of the lapped surfaces for several types of materials, in view of setting up a comprehensive database. In addition to the research results the paper also presents the equipment employed for experimenting. The influence on the height of the removed material layer of various working factors (concentration of the abrasive paste, eccentricity, relative speed, duration of machining, working pressure) is discussed. [11] 3. CONCLUSIONS The study shows that important parameter affecting the surface finish are Grit Size, Cutting Speed, Abrasive Material, Pressure on the workpiece (load) and sfpm (Surface Feed per Minute). Increasing the lapping load, lapping speed and paste concentration parameters causes a corresponding increase in material removal rate. The increase of material removal rate is most significant as the lapping speed parameter increases from 8.5 to 169 rpm. Further increasing the speed to 270 and 500 rpm will not increase the material removal rate. The most efficient lapping speeds range between 300 and 800 sfpm (Surface Feed per Minute), whether it be the rotation of the lap or movement of the work over a stationery lap. Speeds lower or higher than this range will reduce the rate of cut, but higher speeds will improve the surface finish. The increase of material removal rate is almost linear (proportional) with the increase of lapping load throughout the parameter range. The amount of pressure applied depends considerably upon the material being lapped, as well as the desired rate of cut and the finish specified. Lapping with loose abrasives or prepared compounds, the pressure will range from 1 to 3 psi for soft materials, including nonferrous metals and alloys and up to 10 psi for hard materials. Excessive pressures will cause rapid breakdown and possibly score the work-piece.
  • 9. IJESM Volume 2, Issue 1 ISSN: 2320-0294 ________________________________________________________ A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A. International Journal of Engineering, Science and Mathematics http://www.ijmra.us 183 March 2013 The optimum lapping parameter combination within the experimental level ranges is found to be high speed, high load, 60µm diamond particles and high paste concentration. The most significant influencing parameter is lapping load, which accounts for 50% of the total effect, followed by lapping speed (31%), particle size and the paste concentration parameters only account for 12% and 7% respectively. REFERENCES [1] “Lapping and Polishing Basics” south bay technology 1120 Via Callejon, San Clemente CA 92673 USA.Voice: 949.492.2600 [2] Andrea Deaconescu “Robust Design – A Decision Making Tool In Machining by Lapping,” “Transilvania” University of Brasov, Romania Vol. 8, nr. 3b(21b), November, 2007 [3] W.J. Zong, D.Li, T. Sun, K. Cheng, “Contact accuracy and orientations affecting the lapped tool sharpness of diamond cutting tools by mechanical lapping,” 15 (2006) 1424–1433S. 3. [4] Annals of DAAAM “Significant effect of variables on the efficiency of plane lapping of silicon wafers by ANOVA table” [5] Dominic S. Guevarra, Akira Kyusojin, Hiromi Isobe, Yoshiaki Kaneko ,“Development of a new lapping method for high precision ball screw (1st report) feasibility study of a prototyped lapping tool for automatic lapping process” D.S. Guevarra et al. / Precision Engineering 25 (2001) 63-69 [6] Tetsuro IYAMA, Ikuo TANABE, Aung Lwin MOE, Kazuo YOSHI, “Development Of Intelligent Lapping System Estimation Of Finished Surface Roughness and its Improvement Speed” Journal Of Machine Engineering, Vol. 10, No. 1, 2010 [7] C.J. Evans, E.paul, D.Dornfeld “Material Removal Mechanisms in Lapping & Polishing”, STC “G” Keynote, CIRP Annals, 52, 2, pp. 611-633 [8] J. Kang, M. Hadfield “Examination of the material removal mechanisms during the lapping process of advanced ceramic rolling elements” wear 258 (2005) 2-12 [9] J. Kang, M. Hadfield “A novel eccentric lapping machine for finishing advance ceramic balls” [10]J. Kang and M. Hadfield “Parameter optimization by Taguchi Methods for finishing advanced ceramic balls using a novel eccentric lapping machine [11]Deaconescu, A. &Deaconescu, T. “Robust Design Of Lapping Processes”, 6th International DAAAM Baltic Conference, Industrial Engineering, 24-26 April 2008, Tallinn, Estonia
  • 10. IJESM Volume 2, Issue 1 ISSN: 2320-0294 ________________________________________________________ A Quarterly Double-Blind Peer Reviewed Refereed Open Access International e-Journal - Included in the International Serial Directories Indexed & Listed at: Ulrich's Periodicals Directory ©, U.S.A., Open J-Gage, India as well as in Cabell’s Directories of Publishing Opportunities, U.S.A. International Journal of Engineering, Science and Mathematics http://www.ijmra.us 184 March 2013 [12]Hand Book of Lapping & Polishing by Ioan D. Marinescu, EckartUhlmann, Toshiro K. Doi ,CRC press [13]Taguchi, G., Konishi, S. (1987), Taguchi Methods, Orthogonal Arrays and Linear Graphs, American Supplier Institute, Dearborn, Michigan, USA [14]Hand Book of NANOTECHNOLOGY “Integrated Processing Systems for Ultra-precision and Ultra-fine Products” by-Norio Taniguchi OXFORD UNIVERSITY PRESS [15]Dobrescu, T. (1996), Lapping Process of Silicon Wafers, Research Reports, LAPT, University of Naples "FedericoII", Italy, pp. 31-34 [16]Sunil Jha and V. K. Jain, Nano-Finishing Techniques, Department of Mechanical Engineering Indian Institute of Technology Kanpur, INDIA