SlideShare a Scribd company logo
1 of 8
INTERFACING
UART WITH
TMS320C6745 DSP
https://www.pantechsolutions.net/products/dsp-
dsc-boards/tms320c6745-tyro
Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd.
1) Introduction: Serial interface
(universal asynchronous
receiver transmitter : UART)
• RS232 standard and application,
e.g.
2
2
RS232 port
(UART)
RS232 port
(UART)
RS232 standard
3 wires
+10 V=‘0’=SPACE
-10V=‘1’=MARK
Pin2
Pin3
pin5
Pin3
Pin2
pin5
UART
Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd.
Universal asynchronous receiver
transmitter : UART
• RS232 is a serial communication standard
• Since it is asynchronous, no external clock is
needed, only 3 wires are required for the simplest
RS232 connection {GND, tx(transmit),
rx(receive)}
3
3
+10V=Logic
0=space
-10V= Logic
1=markExercise: Sketch Bit Patterns for character A and B
Start 0 1 2 3 4 5 6 7 stop
Bit 0 to 7 (least sig. bit first )
time
Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd.
RS-232 Level Converter
• Convert TTL to RS232 and RS232 to TTL voltage levels.
The most commonly used RS-232 level converter is
MAX232 and MAX3232.
• This IC includes charge pump which can generate RS232
voltage levels (-10V and +10V) from 3.3V power supply. It
also includes two receiver and two transmitters and is
capable of full-duplex UART/USART communication.
• RS-232 communication enables point-to-point data transfer
Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd.
Schematic Diagram to Interface With
UART
Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd.
UART Registers
Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd.
UART Transmit Program
#include "stdio.h"
#include "c6745.h"
#include "c6745_uart.h"
void main()
{
Int16 i;
Uint8 j=64;
UART_Handle uart0;
char message[]={"The C6745-UART is fine !nr"};
/* Initialize BSL */
C6745_init( );
/* Open Uart Handle */
uart0 = C6745_UART_open( 1, 9600 );
while(1)
{
for(i=0;i<27;i++)
{
while( C6745_UART_xmtReady( uart0 ) ); // Wait for uart_tx ready
C6745_UART_putChar( uart0, message[i] ); // Write 1 byte
}
}
}
Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd.
UART Receive &Transmit
Program
#include "stdio.h"
#include "c6745.h"
#include "c6745_uart.h“
void main()
{
UART_Handle uart0;
char receive;
/* Initialize BSL */
C6745_init( );
/* Open Uart Handle */
uart0 = C6745_UART_open( 1, 9600 );
while(1)
{
while(((uart0->regs->LSR) & 0x1)==0);
receive = uart0->regs->THR;
while( C6745_UART_xmtReady( uart0 ) ); // Wait for uart_tx ready
C6745_UART_putChar( uart0, receive ); // Write 1 byte
}
}

More Related Content

What's hot

Project poster: SDR platform elements
Project poster: SDR platform elementsProject poster: SDR platform elements
Project poster: SDR platform elements
Bertalan EGED
 
Stm32f4硬體週邊介紹
Stm32f4硬體週邊介紹Stm32f4硬體週邊介紹
Stm32f4硬體週邊介紹
Jack Wang
 
Arduino Nodebots (Hackster CascadiaJS Workshop)
Arduino Nodebots (Hackster CascadiaJS Workshop)Arduino Nodebots (Hackster CascadiaJS Workshop)
Arduino Nodebots (Hackster CascadiaJS Workshop)
Monica Houston
 

What's hot (20)

Smart oven 智慧烤箱
Smart oven 智慧烤箱Smart oven 智慧烤箱
Smart oven 智慧烤箱
 
Project poster: SDR platform elements
Project poster: SDR platform elementsProject poster: SDR platform elements
Project poster: SDR platform elements
 
Stm32f4硬體週邊介紹
Stm32f4硬體週邊介紹Stm32f4硬體週邊介紹
Stm32f4硬體週邊介紹
 
Esp32 cam arduino-123
Esp32 cam arduino-123Esp32 cam arduino-123
Esp32 cam arduino-123
 
How To Use Linux CAN Signal To AGL
How To Use Linux CAN Signal To AGLHow To Use Linux CAN Signal To AGL
How To Use Linux CAN Signal To AGL
 
SDS_SSL_MPM_UN_A4
SDS_SSL_MPM_UN_A4SDS_SSL_MPM_UN_A4
SDS_SSL_MPM_UN_A4
 
Arduino Nodebots (Hackster CascadiaJS Workshop)
Arduino Nodebots (Hackster CascadiaJS Workshop)Arduino Nodebots (Hackster CascadiaJS Workshop)
Arduino Nodebots (Hackster CascadiaJS Workshop)
 
Interface - Specialized -Integrated Circuits (ICs) - componentship.com
Interface - Specialized -Integrated Circuits (ICs) - componentship.comInterface - Specialized -Integrated Circuits (ICs) - componentship.com
Interface - Specialized -Integrated Circuits (ICs) - componentship.com
 
We-Con company introduction 2018
We-Con company introduction 2018We-Con company introduction 2018
We-Con company introduction 2018
 
Open Source Home Automation with LinkSprite.IO
Open Source Home Automation with LinkSprite.IOOpen Source Home Automation with LinkSprite.IO
Open Source Home Automation with LinkSprite.IO
 
Intel Curie Presentation
Intel Curie PresentationIntel Curie Presentation
Intel Curie Presentation
 
IoT with openHAB on pcDuino3B
IoT with openHAB on pcDuino3BIoT with openHAB on pcDuino3B
IoT with openHAB on pcDuino3B
 
6to4tunnel sample config
6to4tunnel sample config6to4tunnel sample config
6to4tunnel sample config
 
AM437x Product on Module
AM437x Product on ModuleAM437x Product on Module
AM437x Product on Module
 
Raspberry pi-3 b-v1.2-schematics
Raspberry pi-3 b-v1.2-schematicsRaspberry pi-3 b-v1.2-schematics
Raspberry pi-3 b-v1.2-schematics
 
YCAM Workshop Part 1
YCAM Workshop Part 1YCAM Workshop Part 1
YCAM Workshop Part 1
 
Raspberry pi-2 b-v1.2-schematics
Raspberry pi-2 b-v1.2-schematicsRaspberry pi-2 b-v1.2-schematics
Raspberry pi-2 b-v1.2-schematics
 
9.atmel
9.atmel9.atmel
9.atmel
 
ESP8266 Wifi Nodemcu
ESP8266 Wifi Nodemcu ESP8266 Wifi Nodemcu
ESP8266 Wifi Nodemcu
 
M qcardiac
M qcardiacM qcardiac
M qcardiac
 

Viewers also liked

Viewers also liked (12)

Brainsense -Brain computer Interface
Brainsense -Brain computer InterfaceBrainsense -Brain computer Interface
Brainsense -Brain computer Interface
 
Median filter Implementation using TMS320C6745
Median filter Implementation using TMS320C6745Median filter Implementation using TMS320C6745
Median filter Implementation using TMS320C6745
 
Waveform Generation Using TMS320C6745 DSP
Waveform Generation Using TMS320C6745 DSPWaveform Generation Using TMS320C6745 DSP
Waveform Generation Using TMS320C6745 DSP
 
Basics of Connectors
Basics of ConnectorsBasics of Connectors
Basics of Connectors
 
Introduction to Code Composer Studio 4
Introduction to Code Composer Studio 4Introduction to Code Composer Studio 4
Introduction to Code Composer Studio 4
 
optimization c code on blackfin
optimization c code on blackfinoptimization c code on blackfin
optimization c code on blackfin
 
Internet of Things
Internet of ThingsInternet of Things
Internet of Things
 
Introduction to tms320c6745 dsp
Introduction to tms320c6745 dspIntroduction to tms320c6745 dsp
Introduction to tms320c6745 dsp
 
Network Cabling
Network CablingNetwork Cabling
Network Cabling
 
Network cable
Network cableNetwork cable
Network cable
 
Medical Image Processing
Medical Image ProcessingMedical Image Processing
Medical Image Processing
 
Networking ppt
Networking ppt Networking ppt
Networking ppt
 

Similar to Interfacing UART with tms320C6745

Similar to Interfacing UART with tms320C6745 (20)

Universal Asynchronous Receive and transmit IP core
Universal Asynchronous Receive and transmit IP coreUniversal Asynchronous Receive and transmit IP core
Universal Asynchronous Receive and transmit IP core
 
Wireless data transmission through uart port using arm & rf transceiver
Wireless data transmission through uart port using arm & rf transceiverWireless data transmission through uart port using arm & rf transceiver
Wireless data transmission through uart port using arm & rf transceiver
 
Embedded system course projects - Arduino Course
Embedded system course projects - Arduino CourseEmbedded system course projects - Arduino Course
Embedded system course projects - Arduino Course
 
Industrialtraining
IndustrialtrainingIndustrialtraining
Industrialtraining
 
Synthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft CoreSynthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft Core
 
Spark
SparkSpark
Spark
 
Serial Communication & Embedded System Interface
Serial Communication & Embedded System InterfaceSerial Communication & Embedded System Interface
Serial Communication & Embedded System Interface
 
Atmega 16 drdo report
Atmega 16 drdo reportAtmega 16 drdo report
Atmega 16 drdo report
 
RADAR
RADARRADAR
RADAR
 
Intel Quark HSUART
Intel Quark HSUARTIntel Quark HSUART
Intel Quark HSUART
 
FPGA IMPLIMENTATION OF UART CONTTROLLER
FPGA IMPLIMENTATION OF UART CONTTROLLERFPGA IMPLIMENTATION OF UART CONTTROLLER
FPGA IMPLIMENTATION OF UART CONTTROLLER
 
Lecture 10 _serial_communication
Lecture 10 _serial_communicationLecture 10 _serial_communication
Lecture 10 _serial_communication
 
Gesture Controlled Robot
Gesture Controlled RobotGesture Controlled Robot
Gesture Controlled Robot
 
A Robust UART Architecture Based on Recursive Running Sum Filter for Better N...
A Robust UART Architecture Based on Recursive Running Sum Filter for Better N...A Robust UART Architecture Based on Recursive Running Sum Filter for Better N...
A Robust UART Architecture Based on Recursive Running Sum Filter for Better N...
 
UART
UARTUART
UART
 
Microprocessors-based systems (under graduate course) Lecture 9 of 9
Microprocessors-based systems (under graduate course) Lecture 9 of 9 Microprocessors-based systems (under graduate course) Lecture 9 of 9
Microprocessors-based systems (under graduate course) Lecture 9 of 9
 
Practical DNP3, 60870.5 & Modern SCADA Communication System
Practical DNP3, 60870.5 & Modern SCADA Communication SystemPractical DNP3, 60870.5 & Modern SCADA Communication System
Practical DNP3, 60870.5 & Modern SCADA Communication System
 
Tutorial
TutorialTutorial
Tutorial
 
Design and Development of a prototype of AGV
Design and Development of a prototype of AGVDesign and Development of a prototype of AGV
Design and Development of a prototype of AGV
 
Arduino Programming
Arduino ProgrammingArduino Programming
Arduino Programming
 

More from Pantech ProLabs India Pvt Ltd

Types of motors and control techniques using TI motor control kit
Types of motors and control techniques using TI motor control kitTypes of motors and control techniques using TI motor control kit
Types of motors and control techniques using TI motor control kit
Pantech ProLabs India Pvt Ltd
 

More from Pantech ProLabs India Pvt Ltd (20)

Registration process
Registration processRegistration process
Registration process
 
Choosing the right processor for embedded system design
Choosing the right processor for embedded system designChoosing the right processor for embedded system design
Choosing the right processor for embedded system design
 
Brain Computer Interface
Brain Computer InterfaceBrain Computer Interface
Brain Computer Interface
 
Electric Vehicle Design using Matlab
Electric Vehicle Design using MatlabElectric Vehicle Design using Matlab
Electric Vehicle Design using Matlab
 
Image processing application
Image processing applicationImage processing application
Image processing application
 
Internet of Things using Raspberry Pi
Internet of Things using Raspberry PiInternet of Things using Raspberry Pi
Internet of Things using Raspberry Pi
 
Internet of Things Using Arduino
Internet of Things Using ArduinoInternet of Things Using Arduino
Internet of Things Using Arduino
 
Brain controlled robot
Brain controlled robotBrain controlled robot
Brain controlled robot
 
Brain Computer Interface-Webinar
Brain Computer Interface-WebinarBrain Computer Interface-Webinar
Brain Computer Interface-Webinar
 
Development of Deep Learning Architecture
Development of Deep Learning ArchitectureDevelopment of Deep Learning Architecture
Development of Deep Learning Architecture
 
Future of AI
Future of AIFuture of AI
Future of AI
 
Gate driver design and inductance fabrication
Gate driver design and inductance fabricationGate driver design and inductance fabrication
Gate driver design and inductance fabrication
 
Brainsense -Introduction to brain computer interface
Brainsense -Introduction to brain computer interfaceBrainsense -Introduction to brain computer interface
Brainsense -Introduction to brain computer interface
 
Wearable Technology
Wearable TechnologyWearable Technology
Wearable Technology
 
Introduction to Brain Computer Interface
Introduction to Brain Computer InterfaceIntroduction to Brain Computer Interface
Introduction to Brain Computer Interface
 
Arm tyro
Arm tyroArm tyro
Arm tyro
 
Building Robots Tutorial
Building Robots TutorialBuilding Robots Tutorial
Building Robots Tutorial
 
Introduction to robotics
Introduction to roboticsIntroduction to robotics
Introduction to robotics
 
Brainwave starter Kit- Brain computer interface
Brainwave starter Kit- Brain computer interfaceBrainwave starter Kit- Brain computer interface
Brainwave starter Kit- Brain computer interface
 
Types of motors and control techniques using TI motor control kit
Types of motors and control techniques using TI motor control kitTypes of motors and control techniques using TI motor control kit
Types of motors and control techniques using TI motor control kit
 

Recently uploaded

The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
heathfieldcps1
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
ZurliaSoop
 

Recently uploaded (20)

2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
 
Fostering Friendships - Enhancing Social Bonds in the Classroom
Fostering Friendships - Enhancing Social Bonds  in the ClassroomFostering Friendships - Enhancing Social Bonds  in the Classroom
Fostering Friendships - Enhancing Social Bonds in the Classroom
 
How to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptxHow to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptx
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and Modifications
 
Python Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxPython Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docx
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
 
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptxOn_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
 
Application orientated numerical on hev.ppt
Application orientated numerical on hev.pptApplication orientated numerical on hev.ppt
Application orientated numerical on hev.ppt
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)
 
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17
 
Google Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxGoogle Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptx
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan Fellows
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
 

Interfacing UART with tms320C6745

  • 2. Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd. 1) Introduction: Serial interface (universal asynchronous receiver transmitter : UART) • RS232 standard and application, e.g. 2 2 RS232 port (UART) RS232 port (UART) RS232 standard 3 wires +10 V=‘0’=SPACE -10V=‘1’=MARK Pin2 Pin3 pin5 Pin3 Pin2 pin5 UART
  • 3. Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd. Universal asynchronous receiver transmitter : UART • RS232 is a serial communication standard • Since it is asynchronous, no external clock is needed, only 3 wires are required for the simplest RS232 connection {GND, tx(transmit), rx(receive)} 3 3 +10V=Logic 0=space -10V= Logic 1=markExercise: Sketch Bit Patterns for character A and B Start 0 1 2 3 4 5 6 7 stop Bit 0 to 7 (least sig. bit first ) time
  • 4. Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd. RS-232 Level Converter • Convert TTL to RS232 and RS232 to TTL voltage levels. The most commonly used RS-232 level converter is MAX232 and MAX3232. • This IC includes charge pump which can generate RS232 voltage levels (-10V and +10V) from 3.3V power supply. It also includes two receiver and two transmitters and is capable of full-duplex UART/USART communication. • RS-232 communication enables point-to-point data transfer
  • 5. Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd. Schematic Diagram to Interface With UART
  • 6. Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd. UART Registers
  • 7. Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd. UART Transmit Program #include "stdio.h" #include "c6745.h" #include "c6745_uart.h" void main() { Int16 i; Uint8 j=64; UART_Handle uart0; char message[]={"The C6745-UART is fine !nr"}; /* Initialize BSL */ C6745_init( ); /* Open Uart Handle */ uart0 = C6745_UART_open( 1, 9600 ); while(1) { for(i=0;i<27;i++) { while( C6745_UART_xmtReady( uart0 ) ); // Wait for uart_tx ready C6745_UART_putChar( uart0, message[i] ); // Write 1 byte } } }
  • 8. Technology beyond the Dreams™ Copyright © 2014 Pantech Prolabs India Pvt Ltd. UART Receive &Transmit Program #include "stdio.h" #include "c6745.h" #include "c6745_uart.h“ void main() { UART_Handle uart0; char receive; /* Initialize BSL */ C6745_init( ); /* Open Uart Handle */ uart0 = C6745_UART_open( 1, 9600 ); while(1) { while(((uart0->regs->LSR) & 0x1)==0); receive = uart0->regs->THR; while( C6745_UART_xmtReady( uart0 ) ); // Wait for uart_tx ready C6745_UART_putChar( uart0, receive ); // Write 1 byte } }