SlideShare a Scribd company logo
1 of 28
Download to read offline
April 2020: Top Read Articles
in VLSI design &
Communication Systems
International Journal of VLSI design & Communication
Systems (VLSICS)
ISSN : 0976 - 1357 (Online); 0976 - 1527(print)
http://airccse.org/journal/vlsi/vlsics.html
DESIGN AND IMPLEMENTATION OF CAR PARKING SYSTEM ON
FPGA
Ramneet Kaur1
and Balwinder Singh2
1,2
Academic and Consultancy Services-Division, Centre for Development of Advanced Computing(C-
DAC), Mohali, India
ABSTRACT
As, the number of vehicles are increased day by day in rapid manner. It causes the problem of traffic
congestion, pollution (noise and air). To overcome this problem A FPGA based parking system has been
proposed. In this paper, parking system is implemented using Finite State Machine modelling. The system
has two main modules i.e. identification module and slot checking module. Identification module
identifies the visitor. Slot checking module checks the slot status. These modules are modelled in HDL
and implemented on FPGA. A prototype of parking system is designed with various interfaces like sensor
interfacing, stepper motor and LCD.
KEYWORDS
Finite State Machine; Parking System; Virtex- 5;
Original Source URL : https://aircconline.com/vlsics/V4N3/4313vlsics07.pdf
http://airccse.org/journal/vlsi/vol4.html
REFERENCES
[1] Du Shaobo; Sun Shibao;,(2012) "The research and design of intellectual parking system based on
RFID," Fuzzy Systems and Knowledge Discovery (FSKD), 2012 9th International Conference on,
pp.2427-2430.
[2] Gongjun Yan; Weiming Yang; Rawat, D.B.; Olariu, S.,(2011) "SmartParking: A Secure and
Intelligent Parking System," Intelligent Transportation Systems Magazine, IEEE , vol.3, no.1, pp.18-
30.
[3] Liu Liang; Zhang Lei; Xiao Jin; ,(2011) "The simulation of an auto-parking system," Industrial
Electronics and Applications (ICIEA), 2011 6th IEEE Conference on , pp.249-253.
[4] Soh Chun Khang; Teoh Jie Hong; Tan Saw Chin; Shengqiong Wang;(2010) , "Wireless MobileBased
Shopping Mall Car Parking System (WMCPS)," Services Computing Conference (APSCC), 2010 IEEE
Asia-Pacific , pp.573-577.
[5] Gupta, A.; Divekar, R.; Agrawal, M.; ,(2010) "Autonomous parallel parking system for Ackerman
steering four wheelers," Computational Intelligence and Computing Research (ICCIC), 2010 IEEE
International Conference on , pp.1-6.
[6] Hua-Chun Tan; Jie Zhang; Xin-Chen Ye; Hui-Ze Li; Pei Zhu; Qing-Hua Zhao;(2009) , "Intelligent
car-searching system for large park," Machine Learning and Cybernetics, 2009 International Conference
on , vol.6, no., pp.3134-3138.
[7] Srikanth, S.V.; Pramod, P.J.; Dileep, K.P.; Tapas, S.; Patil, M.U.; Sarat, C.B.N.;(2009) , "Design and
Implementation of a Prototype Smart PARKing (SPARK) System Using Wireless Sensor Networks,"
Advanced Information Networking and Applications Workshops, 2009. WAINA '09. International
Conference on , pp.401-406.
[8] Gongjun Yan; Olariu, S.; Weigle, M.C.; Abuelela, M.; ,(2008) "SmartParking: A Secure and
Intelligent Parking System Using NOTICE," Intelligent Transportation Systems, 2008. ITSC 2008. 11th
International IEEE Conference on , pp.569-574.
[9] Tsung-hua Hsu; Jing-Fu Liu; Pen-Ning Yu; Wang-Shuan Lee; Jia-Sing Hsu; ,(2008) "Development of
an automatic parking system for vehicle," Vehicle Power and Propulsion Conference, 2008. VPPC '08.
IEEE , pp.1-6.
[10] Insop Song; Gowan, K.; Nery, J.; Han, H.; Sheng, T.; Li, H.; Karray, F.; ,(2006) "Intelligent Parking
System Design Using FPGA," Field Programmable Logic and Applications, 2006. FPL '06. International
Conference on , pp.1-6.
[11] http://www.ops.fhwa.dot.gov/congestion_report/chapter3.htm#footer14
AUTHORS BIOGRAPHY
Balwinder Singh has obtained his Bachelor of Technology degree from National
Institute of Technology, Jalandhar and Master of Technology degree from University
Centre for Inst. & Microelectronics (UCIM), Punjab University, and Chandigarh in
2002 and 2004 respectively. He is currently serving as Senior Engineer in Centre for
Development of Advanced Computing (CDAC), Mohali and is a part of the teaching
faculty and also pursuing Phd from GNDU Amritsar. He has 8+ years of teaching
experience to both undergraduate and postgraduate students. Singh has published three
books and many papers in the International & National Journal and Conferences. His current interest
includes Genetic algorithms, Low Power techniques, VLSI Design & Testing, and System on Chip.
Ramneet kaur has received the B.Tech. (Electronics and Communication Engineering)
degree from the CTIEMT, Jalandhar affiliated to Punjab Technical University,
Jalandhar in 2011, and presently she is doing M.Tech (VLSI design) degree from
Centre for of Advanced Computing (CDAC), Mohali and working on her thesis work.
Her area of interest is FPGA Implementation and VLSI Design.
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
Bijal Thakkar1
and V Jayashree2
1
Research Scholar, Electronics Dept., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji,
Maharashtra, India.
2
Professor, Electronics Dept ., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji,
Maharashtra, India.
ABSTRACT
Advanced Extensible Interface (AXI) is the most commonly used bus protocols in the day-to-day because
of its high performance and high-frequency operation without using complex bridges. AXI is also
backwardcompatible with existing AHB and APB interfaces. So verification of driver logic using
AMBA-AXI UVM is presented in this paper. The AXI is used for multiple outstanding operations which
is only possible in the other protocol but it is possible in AXI because it contains different write address
and data channels and AXI also supports out of order transfer based on the transaction ID which is
generated at the start of the transfer. The driver logic for the AXI has been designed and implemented
using the Universal Verification Methodology (UVM).The signaling of the five channels such as write
address, write data, write response, read address, read data channel of AXI protocol are considered for
verification. According to the AXI protocol,the signals of these channels are driven to the interconnect
and results are observed for single master and single slave. The driver logic has been implemented and
verified successfully according to AXI protocol using the Rivera Pro. The results observed for single
master and single slave have shown the correctness of AMBA-AXI design in Verilog.
KEYWORDS
AMBA(Advance Microcontroller Bus Architecture),AXI(Advanced Extensible
Interface),UVM(Universal Verification Methodology),channel.
Original Source URL : https://aircconline.com/vlsics/V9N3/9318vlsi03.pdf
http://airccse.org/journal/vlsi/vol9.html
REFERENCES
[1] Amba axi protocol specification, arm, 2011.
[2] Gayathri m, rini sebastian, silpa rose mary, anoop thomas, “a sv-uvm framework verification of sgmii
ip core with reusable axi to wb bridge uvc”, ieee ,3rd international on advance computing and
communication systems,jan 22 & 23 ,2016 ,coimbatore, india.
[3] Rini sebastian, silpa rose mary, gayathri m, anoop thomas, “assertion based verification of sgmii ip
core incorporating axi transaction verification model”, ieee,international conference on control,
communication & computing india (iccc), 19-21 november 2015 , trivandrum.
[4] Golla mahesh, sakthivel.s.m, verification ip for an amba-axi protocol using system verilog,
international journal ofengineering research and general science, volume 3, issue 1, januaryfebruary,
2015.
[5] Mahendra.b.m,ramachandra.a.c, bus functional model verification ip development of axi protocol,
international journal ofengineeringresearch andgeneral science, volume 3, special issue 1, february, 2014
[6] Anusharanga, l. harivenkatesh, venkanna, design and implementation of amba-axi protocol using vhdl
for soc integration, international journal of engineering research and general science, vol. 2, issue4, july-
august 20,2012.
AUTHORS
Bijal Thakkar is currently pursuing her M.Tech in Electronics from Shivaji University,
Ichalkarnji .Doing project in DKTE Society’s Textile & Engineering Institute. She
Obtained her bachelor’s degree in Electronics and communication engineering from
Shivaji University,Kolhapur.Her interest includes,digital circuits design and
Verification.
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
Aparna Kharade1
and V. Jayashree2
1
Research Scholar, Electronics Dept., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji,
Maharashtra, India.
2
Professor, Electronics Dept ., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji,
Maharashtra, India.
ABSTRACT
The Advanced Microcontroller Bus Architecture (AMBA) is an open System-on-Chip bus protocol for
highperformance buses to communicate with low-power devices. In the AMBA Advanced High
Performance bus (AHB) a system bus is used to connect a processor, a DSP, and high-performance
memory controllers where as the AMBA Advanced Peripheral Bus (APB) is used to connect (Universal
Asynchronous Receiver Transmitter) UART. It also contains a Bridge, which connects the AHB and APB
buses. Bridges are standard bus-to-bus interfaces that allow IPs connected to different buses to
communicate with each other in a standardized way. So AHB2APB bridge is designed, implemented
using VERILOG tool and tested using Verilog testbench and is reported in this paper. A synthesizable
RTL code of a complex interface bridge between AHB and APB is developed and known as AHB2APB
Bridge. The simulated AHB2APB Bridge results are promising and can be further tested for its verstality
by writing a verification program using UVM in future.
KEYWORDS
AMBA; AHB2APB; SOC; VERILOG; XILINX
Original Source URL : https://aircconline.com/vlsics/V9N3/9318vlsi02.pdf
http://airccse.org/journal/vlsi/vol9.html
REFERENCES
[1] Jaehoon Song, Student member, IEEE et al.,” An Efficient SOC Test Technique by Reusing
On/OffChip Bus Bridge”. IEEE Transactions on Circuits and Systems-I: Regular Papers, Vol. 56, No.3,
March 2009.
[2] Krishna Sekar, Member, IEEE et al.” Dynamically Configurable Bus Topologies for
HighPerformance On-Chip Communication”. IEEE transactions on very large-scale integration (Vlsi)
systems, vol. 16, no. 10, October 2008.
[3] G. Geetha Reddy et al.,” Implementation of bus bridge between AHB and OCP”. Global Journal of
Advanced Engineering Technologies, Vol 1, Issue4-2012 ISSN: 2277-6370.
[4] Kalluri Usha and T. Ashok Kumar,” AXI To Apb Interface Design Using Verilog”. IOSR Journal of
Electronics and Communication Engineering, p- ISSN: 2278-8735.Volume 8, Issue 5 (Nov. - Dec. 2013),
pg. 01-09.
[5] Vani.R.M and Merope,” Design of AMBA based AHB2APB bridge” IJCSNS international journal of
computer science and network security, vol.10, no.11, November 2010
[6] Priyanka M Shettar1, Ashwin Kumar “Verification IP of AMBA AXI V1.0 Using UVM”. IOSR
Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May -Jun. 2016),
pg. 54-58.
AUTHORS
Aparna Ramesh Kharade is currently pursuing Mtech in electronics from Shivaji
University Kolhapur.completing the project in DKTE Society’s Textile &
Enginnering Institute She obtained her bachelor’s degree in Electronics from
Shivaji University, Kolhapur. She obtained her diploma in Medical Electronics
from Government Polytechnic Miraj. Her research interests are VLSI based
SOC design and verification.
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH
SPEED AND LOW STANDBY CURRENT
Chien-Cheng Yu1,3*
, Ming-Chuen Shiau2
, and Ching-Chih Tsai3
1
Department of Electronic Engineering, Hsiuping University of Science and Technology, Taichung City,
Taiwan
2
Department of Electrical Engineering, Hsiuping University of Science and Technology, Taichung City,
Taiwan
3
Department of Electrical Engineering, National Chung Hsing University Taichung City, Taiwan
ABSTRACT
In this paper, a new five-transistor (5T) single-port Static Random Access Memory (SRAM) cell with
voltage assist is proposed. Amongst them, a word line suppression circuit is designed to provide a voltage
of the respective connected word line signal in a selected row cells lower than the power supply voltage
VDD by a threshold voltage during a read operation, thereby to improve the read/write-ability of the cell.
In addition, a voltage control circuit is coupled to the sources corresponding to driver transistors of each
row memory cells. This configuration is aimed to control the source voltages of driver transistors under
different operating modes. Specifically, during a read operation, a two-stage reading mechanism is
engaged to increase the reading speed. Simulation results for the proposed cell design confirm that there
is a conspicuous improvement in reading speed and power saving over the conventional SRAM cells, and
fast writing also can be achieved.
KEYWORDS
Single-port, Static random access memory, Assist circuitry, Voltage control circuit, Standby start-up
circuit
Original Source URL : https://aircconline.com/vlsics/V9N4/9418vlsi01.pdf
http://airccse.org/journal/vlsi/vol9.html
REFERENCES
[1] N. H. E. Weste and D. M. Harris, CMOS VLSI Design: A Circuits and Systems Perspective, Addison
Wesley, 2011.
[2] D. Helms, E. Schmidt, and W. Nebel, “Leakage in CMOS Circuits – An Introduction,” PATMOS
2004, LNCS 3254, 2004, pp. 17-35.
[3] K. Roy, S. Mukhopadhyay, and H. Mahmoodi-Meimand,”Leakage Current Mechanisms and Leakage
Reduction Techniques in Deep-Submicrometer CMOS Circuits,” in Proc. IEEE, vol. 91, no. 2, pp. 305-
327, Apr. 2003.
[4] I. Carlson, S. Andersson, S. Natarajan, and A. Lvandpour, “A high density, low leakage, 5T SRAM
for embedded caches,” in Proc. ESSCIRC 2004, Sept. 2004, pp. 215-218.
[5] L. Gupta and S. Gupta, “A Survey of Design Low Power Static Random Access Memory,” Int. J.
Current Trends in Engineering & Technology, vol. 3, no. 2, pp. 47-50, Mar. 2017.
[6] S. Akashe, S. Bhushan, and S. Sharma, “High density and low leakage current based 5T SRAM cell
using 45 nm technology,” in Proc. Int. Conf. Nanoscience, Engineering and Technology (ICONSET),
Nov. 2011, pp. 346-350.
[7] D. A. Hodges, H. G. Jackson, and R. A. Saleh, Analysis and Design of Digital Integrated Circuits: In
Deep Submicron Technology, 3rd ed., New York: McGraw-Hill Press, 2004.
[8] D. K. Shedge and V Agey, “Different types of SRAM chips for power reduction: A survey,” in Proc.
2016 3rd Int. Conf. Computing for Sustainable Global Development (INDIACom), Mar. 16-18, 2016,
pp. 974-979.
[9] N. Azizi, F. N. Najm, and A. Moshovos, “Low-leakage asymmetric-cell SRAM,” IEEE Trans. Very
Large Scale Integration (VLSI) Systems, vol. 11 , no. 4, pp. 701-715, Sept. 2003.
[10] S. Akashe, S. Bhushan, and S. Sharma, “High Density and Low Leakage Current Based 5T SRAM
Cell Using 45 nm Technology,” Romanian Journal of Information Science and Technology, vol. 15,
no. 2, pp. 155-168, 2012.
[11] J. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits: A Designer Perspective,
2nd ed., Upper Saddle River, NJ: Prentice-Hall, 2003.
[12] H. Tran, “Demonstration of 5T SRAM and 6T Dual-Port RAM Cell Arrays,” in Proc. 1996 Symp.
VLSI Circuits Dig. Tech. Papers, June 13-15, 1996, pp. 68-69.
[13] D. Suneja, Nitin Chaturvedi, and S. Gurunarayanan, “A comparative analysis of read/write assist
techniques on performance & margin in 6T SRAM cell design,” in Proc. 2017 Int. Conference on
Computer, Communications and Electronics (Comptelix), July 1-2, 2017.
[14] H. Veendrick, Nanometer CMOS ICs: From Basics to ASICs, 2nd ed., Heidelberg, Springer-Verlag,
2017.
[15] S. Nalam and B. H. Calhoun, “5T SRAM With Asymmetric Sizing for Improved Read Stability,”
IEEE J. Solid-State Circuits, vol. 46, no. 10, pp. 2431-2442, Oct. 2011.
[16] H. Jeong, et al., "Switching pMOS Sense Amplifier for High-Density Low-Voltage Single-Ended
SRAM," IEEE Trans. Circuits and Systems I: Regular Papers, vol. 62, no. 6, pp. 1555-1563, 2015.
[17] S. K. Ojha, O. P. Singh, G. R. Mishra, and P. R. Vaya, "Analysis and design of single ended SRAM
cell for low-power operation," in Proc. 2016 11th Int. Conf. Industrial and Information Systems (ICIIS),
2016, pp. 178-181.
[18] S. Nalam, V. Chandra, C. Pietrzyk, R. Aitken, and B. Calhoun, “Asymmetric 6T SRAM with
twophase write and split bitline differential sensing for low voltage operation,” in Proc. 11th Int. Symp.
Quality Electronic Design (ISQED), Mar. 2010, pp. 139-146.
[19] Y. H. Chen, et al., “A 0.6V 45nm adaptive dual-rail SRAM compiler circuit design for lower
VDD_min VLSIs,” in Proc. IEEE Symp. VLSI Circuits, June 2008, pp. 210-211.
[20] Y. Chung and S. H. Song, “Implementation of low-voltage static RAM with enhance data stability
and circuit speed,” Microelectronics Journal, vol. 40, no. 6, pp. 944-951, 2009.
[21] M. C. Shiau and S. W. Liao, “Single port SRAM having a higher voltage word line in writing
operation,” TW pat. I404065 B, Aug. 1, 2013.
[22] M. Yamaoka, et al., “Low-power embedded SRAM modules with expanded margins for writing,” in
Proc. IEEE Int. Conf. Solid-State Circuits (ISSCC 2005), Feb. 2005, pp. 480-611.
[23] H. Pilo, et al., “An SRAM design in 65nm and 45nm technology nodes featuring read and write-
assist circuits to expand operating voltage,” in IEEE Symp. VLSI Circuits, June 15-17, 2006, pp. 15-16.
[24] M. C. Shiau, C. C. Yu, and K. T. Chen, “Single port SRAM having a lower power voltage in writing
operation”, TW pat. I426514 B, Feb. 11, 2014.
[25] M. C. Shiau and W. C. Tsai, “Single port SRAM having a discharging path”, TW pat. I41916 B,
Dec.11, 2013.
[26] S. S. Sakhare, “Five transistor SRAM cell”, US pat. 20140029333 A1, Jan. 30, 2014.
[27] M. H. Tu, J. Y Lin, M. C. Tsai, S. J. Jou, and C. T. Chuang, “Single-Ended Subthreshold SRAM
With Asymmetrical Write/Read-Assist,” IEEE Trans. Circuits and Systems- I: Regular Papers, vol. 57,
no. 12, pp. 3039- 3047, Dec. 2010.
[28] D. P. Wang, et al., “A 45nm dual-port SRAM with write and read capability enhancement at low
voltage,” in Proc. IEEE Int. Conf. SOC, Sept. 2007, pp. 211-214.
[29] K. Nii, et al., “A 45-nm single-port and dual-port SRAM family with robust read/write stabilizing
circuitry under DVFS environment,” in Proc. IEEE Symp. VLSI Circuits, June 2008, pp. 212-213.
[30] K. Kim, J. J. Kim, and C. T. Chuang, “Asymmetrical SRAM cells with enhanced read and write
margins,” in Proc. Int. Symp. VLSI Technology, Systems and Applications (VLSI-TSA), Apr. 2007, pp.
1-2.
[31] A. Bhavnagarwala, et al., “Fluctuation limits & scaling opportunities for CMOS SRAM cells,” in
Proc. IEEE Int. Electron Devices Meeting (IEDM 2005), Dec. 2005, pp. 659-662.
[32] H. S. Yang, et al., “Scaling of 32nm low power SRAM with high-K metal gate,” in Proc. IEEE Int.
Electron Devices Meeting (IEDM 2008), Dec. 2008, pp. 1-4.
[33] M. C. Shiau and E. G. Chang, “5T single port SRAM”, TW pat. I436359 B, May 1, 2014.
[34] M. C. Shiau, C. C. Yu, and K. T. Chen, “Single port SRAM with reducing standby current”, TW pat.
I425510 B, Feb. 1, 2014.
[35] K. Itoh, VLSI Memory Chip Designs, Heidelberg, Springer-Verlag, 2001.
AUTHORS
Chien-Cheng Yu received the B.S. and M.S. degrees from Feng Chia University and National Taiwan
Normal University, respectively. He is currently working toward the Ph.D. degree in electrical
engineering at National Chung Hsing University, Taichung City, Taiwan. In 1986, he joined Hsiuping
University of Science and Technology, Taichung City, Taiwan, where he is now an Associate Professor.
His current research interests include design and analysis of high-speed, low-power integrated circuits and
low-voltage low-power embedded SRAM circuit design.
Ming-Chuen Shiau received the B.S., M.S. and Ph.D. degrees in Electronic Engineering from National
Chiao Tung University, Hsinchu, Taiwan. He is currently a Professor with the Department of Electrical
Engineering at Hsiuping University of Science and Technology, Taichung City, Taiwan. His current
research interests include low-power digital circuit design, SRAM design and low-voltage embedded
memory circuit design.
Ching-Chih Tsai received the Diplomat in electrical engineering from National Taipei Institute of
Technology, Taipei, Taiwan, in 1981, the M.S. degree in control engineering from National Chiao Tung
University, Hsinchu, Taiwan, in 1986, and the Ph.D. degree in electrical engineering from Northwestern
University, Evanston, IL, in 1991. He is currently a Distinguished Professor in the Department of
Electrical Engineering, National Chung Hsing University, Taichung City, Taiwan. His current research
interests include ultrasonics, mechatronics, mobile robotics, advanced control methods, embedded control
systems, and their applications to mobile robots and industrial control systems.
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND
INDEPENDENT-GATE LOW POWER 6T FINFET SRAM TOPOLOGIES
Dusten Vernor, Santosh Koppa and Eugene John
Department of Electrical and Computer Engineering University of Texas at San Antonio, Texas, USA
ABSTRACT
This paper investigates the leakage current, static noise margin (SNM), delay and energy consumption of
a 6 transistor FinFET based static random-access memory (SRAM) cell due to the variation in design and
operating parameters of the SRAM cell. The SRAM design and operating parameters considered in this
investigation are transistor sizing, supply voltage, word-line voltage, temperature and PFET and NFET
back gate biasing. This investigation is performed using a 11nm FinFET shorted gate and low power
technology models. Based on the investigation results, we propose a robust 6 transistor SRAM cells with
optimized performance using shorted gate and independent gate low power FinFET models. By
optimizing the design parameters of the cell, the shorted-gate design shows an improvement of read SNM
of 261.56mV and an improvement of hold SNM of 87.68mV when compared to a shorted-gate cell with
standard design parameters. The low-power design shows an improvement of read SNM of 146.18mV
and a marginal decrease in hold SNM of 22.84mV when compared to a low-power cell with standard
design parameters. Both the cells with the new optimized design parameters are shown to improve the
overall SNM of the cells with minimal impact on the subthreshold leakage currents, performance and
energy consumption.
KEYWORDS
SRAM, Leakage Power, Write Delay, Read Delay, FinFET, Static Noise Margin, SNM, Back Gate
Biasing.
Original Source URL : https://aircconline.com/vlsics/V9N5/9518vlsi01.pdf
http://airccse.org/journal/vlsi/vol9.html
REFERENCES
[1] B. Dipert. Fundamentals Of Volatile Memory Technologies. Electronic Products, 2011.
[2] A. Pavlov. Cmos Sram Circuit Design And Parametric Test In Nano-Scaled Technologies, Volume
40 Of Frontiers In Electronic Testing, Springer Berlin- Heidelberg, 2008.
[3] J. Colinge. Finfets And Other Multi-Gate Transistors. Springer Berlin- Heidelberg, 2008.
[4] J. Rabaey. Low Power Design Essentials. Springer New York, 2009.
[5] D. Payne. Designing With Finfets, Oct. 2012. Http://Www.Soi.Tec.Ufl.Edu/Ufdg.Html.
[6] University Of Florida. Process/Physics-Based Generic Double-Gate Mosfet Model, Oct 2011.
[7] C. Hill. Definitions Of Noise Margin In Logic Systems. Mullard Technology Communications, Pages
239–245, Sept 1967.
[8] E. S. Et Al. Static-Noise Margin Analysis Of Mos Sram Cells. Ieee Journal Of Solid State Circuits,
Sc-22(5):748–754, 1987.
[9] B. H. Et. Al. Calhoun. Analyzing Static Noise Margin For Sub-Threshold Sram In 65nm Cmos. Proc.
Esscirc, Pages 363–366, Sept 2005.
[10] S. Koppa And E. B. John “Performance Tradeoffs In The Design Of Low-Power Sram Arrays For
Implantable Devices”, Journal Of Low Power Electronics, Vol 14, No. 1, March 2018.
[11] Monica M And P. Chandramohan, "Characterization Of 8t Sram Cells Using 16 Nm Finfet
Technology," 2016 International Conference On Signal Processing And Communication (Icsc), Noida,
2016, Pp. 403-406.
[12] T. S. Copetti, T. R. Balen, G. C. Medeiros And L. M. B. Poehls, "Analyzing The Behavior Of Finfet
Srams With Resistive Defects," 2017 Ifip/Ieee International Conference On Very Large Scale Integration
(Vlsi-Soc), Abu Dhabi, 2017, Pp. 1-6.
AUTHORS
Dusten Vernor received his B.S. and M.S degrees, both in Computer Engineering, from the University of
Texas at San Antonio. Currently he is an engineer at Broadcom Inc. in Austin, Texas. His research
interests include, Efficient VLSI System Design and Low Power VLSI Systems.
Santosh Koppa received his Ph. D. in Electrical Engineering from the University of Texas at San
Antonio. He is currently working in Global Foundries US Inc. His research interests include, ultra-low
power analog and digital circuits, Internet of Things, low power VLSI systems.
Eugene John received his Ph. D. in Electrical Engineering from the Pennsylvania State University. He is
currently a professor in the department of Electrical and Computer Engineering. His research interests
include, ultra-low power computing, energy efficient hardware for deep learning, low power VLSI
systems, integrated circuit IP security and trust and computer architecture and performance evaluation.
SINGLE ELECTRON TRANSISTOR: APPLICATIONS & PROBLEMS
Om Kumar1
and Manjit Kaur2
1,2
VLSI-ES Department, Centre for Development of Advanced Computing, Mohali, India
ABSTRACT
The goal of this paper is to review in brief the basic physics of nanoelectronic device single-electron
transistor [SET] as well as prospective applications and problems in their applications. SET functioning
based on the controllable transfer of single electrons between small conducting "islands". The device
properties dominated by the quantum mechanical properties of matter and provide new characteristics
coulomb oscillation, coulomb blockade that is helpful in a number of applications. SET is able to shear
domain with silicon transistor in near future and enhance the device density. Recent research in SET gives
new ideas which are going to revolutionize the random access memory and digital data storage
technologies.
KEYWORDS
Nanoelectronics; Single-electron transistor; Coulomb blockade, Coulomb oscillation, Quantum dot
Original Source URL : https://aircconline.com/vlsics/V1N4/1210vlsics03.pdf
http://airccse.org/journal/vlsi/vol1.html
REFERENCES
[1] M. A. Kastner, “The single electron transistor and artificial atoms”, Ann. Phy. (Leipzig), vol. 9, pp.
885-895, 2000.
[2] S. Bednarek, B. Szafran, and J. Adamowski, “Solution of the Poisson Schrodinger problem for a
single-electron transistor”, Phys. Rev. B, Vol. 61, pp. 4461-4464, 2000.
[3] Songphol Kanjanachuchai and Somsak Panyakeow, “Beyond CMOS: Single-Electron Transistors”,
IEEE International Conference on Industrial Technology, Bangkok, Thailand, 2002.
[4] Masumi Saitoh, Hidehiro Harata1ion and Toshiro Hiramoto, “Room-Temperature Demonstration of
Integrated Silicon Single-Electron Transistor Circuits for Current Switching and Analog Pattern
Matching”, IEEE International Electron Device Meeting, San Francisco, USA, 2004.
[5] K. Matsumoto, M. Ishii, K. Segawa, Y. Oka B. J. Vartanian and J. S. Harris, “Room temperature
operation of a single electron transistor made by the scanning tunneling microscope nano oxidation
process for the TiOx/Ti system”, Appl. Phys. Lett. 68 (1), pp. 34-36, 1996.
[6] Ken Uchida, Jugli Kaga, Ryuji Ohba and Akira Toriumi, “Programmable Single-Electron Transistor
Logic for Future Low-Power Intelligent LSI: Proposal and Room-Temperature Operation”, IEEE
Transactions on Electron Devices, Vol. 50, No. 7, July 2003.
[7] T.A. Fulton and G.D. Dolan, “Observation of single electron charging effect in small tunnelling
junction”, Phys. Rev. Lett., Vol. 59, pp. 109-112, July 1987.
[8] Lingjie Guo, Effendi Leobandung and Stephen Y. Chou, “A silicon Single-Electron transistor
Memory operating at room temperature”, Science Vol. 275, pp. 649-651, 1997.
[19] A.N. Cleand, D. Estene, C. Urbina and M.H. Devoret, “An extremely Low noise Photodetector
based on the single electron Transistor” , Journal of Low Temperature Physics, Vol. 93, Nos. 3/4, pp.767-
772, 1993.
[10] R. Knobel, C.s. Yung and A.N. clelanda, “Single -electron transistor as a radio frequency mixer”,
Applied Physics Letters, Vol. 81, No. 3, pp. 532-534, July 2002.
AUTHORS
Om Kumar
I am a Student of Masters in Technology in VLSI Design, Centre for Development of
Advanced Computing(C-DAC), Mohali, India. My research interests include
Semiconductor Device Physics, Nano electronics.
Manjit Kaur
I am Bachelor in Electronics and communication Engineering, BECT
Gurdaspur,Punjab, India and Masters in Technology in Microelectronics from Punjab
University, Chandigarh, India. Presently working as Design Engineer at Centre for
Development of Advanced Computing(C-DAC), Mohali, India. This institute is a
scientific society of the Ministry of Communications & Information Technology, Govt.
of India, involved in Research and Development with an objective to create focus on Advanced
Information Technologies, High-end Academics & Training relevant to R & D societies. I am associated
with Masters in Technology in VLSI Des ign. My research interests include VLSI design, Low power
VLSI Design techniques, Semiconductor Device Physics, Nano electronics.
EVALUATION OF ATM FUNCTIONING USING VHDL AND FPGA
Manali Dhar1
, Debolina Roy2
and Tamosha Saha3
1
Assistant Professor, Department of Electronics & Communication Engineering,
DSCSDEC, Kolkata, India
2,3
UG Student, Department of Electronics & Communication Engineering,
DSCSDEC, Kolkata, India
ABSTRACT
It has been almost four decades that banks and other financial organizations have been gradually
computerised, in order to improve service and efficiency and to reduce cost. The birth of Electronic Fund
Transfer and Automated Teller Machines has given rise to 24-hour banking and a greater variety of
services for the customer. This method uses a computer to transfer debits and credits, with the help of
electronic pulses, which are carried through wires either to a magnetic disk or tape. ATM (Automated
Teller Machine) has become an important part in our daily lives. People use ATM for various purposes
such as money withdrawal, checking balance, changing password etc. Since it mainly deals with people's
money, it has to be a secure system on which we can rely. We have taken a step towards increasing this
security and integrity by trying to implement the functioning of an ATM using VLSI-based programming,
HDL(Hardware Description Language).The conventional coding languages such as C,C++ are replaced
by VHDL(Very High Speed Integrated Circuit Hardware Description Language) so that the code cannot
be easily hacked or changed. This article consists of an insight into the various functions that can be
performed using an ATM, a brief description of the Coding and the obtained simulation results. It also
consists of the implementation of the code using FPGA Kit (Spartan3; Model no.-XC 3S50).
KEYWORDS
ATM (Automated Teller Machine), Security, HDL (Hardware Description Language), FPGA (Field
Programmable Gate Array).
Original Source URL : https://aircconline.com/vlsics/V6N3/6315vlsi03.pdf
http://airccse.org/journal/vlsi/vol6.html
REFERENCES
[1] Yingxu Wang and Yanan Zhang, “The Formal Design Model of an Automatic Teller Machine
(ATM)” University of Calgary, Canada, International Journal of Software Science and Computational
Intelligence, 2(1), 102-131, January-March 2010.
[2] Avenet Avenue, user’s guide, Xilinx® Spartan™-3 Development Kit.
[3] Stanley MAZOR and Patricia LINGSTRAAT, "A guide to VHDL (2nd Edition)", copyright 1993,
Kluwer Achedamic Publishers, pp no: 1-1 to 7-16.
[4] http://www.fpga.com.cn/hdl/training/Vhdl_Golden_Reference_Guide.pdf
[5] Peter J. Ashenden and Jim Lewis, "The Designer's Guide to VHDL (3rd Edition)" copyright 2008,
Morgan KJaufmann Publication, pp no:207-225.
[6] Pong P. Chu "RTL Hardware Design Using VHDL, Coding For Efficiency, portability and
Scalability", Willy Interscience a john wilay & sons, inc., Publication, pp no:23-160.
[7] Hardware Implementation of Watchdog Timer for Application in ATM Machine Using Verilog and
FPGA Iqbalur Rahman Rokon, Toufiq Rahman, Md. Murtoza Ali Quader, and Mukit Alam,International
Conference on Electronics, Biomedical Engineering and its Applications (ICEBEA'2012) Jan. 7-8, 2012
Dubai
AUTHORS
[1] First Author – Manali Dhar, M.Tech (Microelectronics & VLSI Design), Dr.
Sudhir Chandra Sur Degree Engineering College, Assistant Professor, Dept. of ECE,
JIS Group and madhuja4u@gmail.com
[2] Second Author – Debolina Roy, Final Year B.Tech Student (Electronics &
Communication Engineering), Dr. Sudhir Chandra Sur Degree Engineering College,
JIS Group
[3] Third Author – Tamosha Saha, Final Year B.Tech Student (Electronics &
Communication Engineering), Dr. Sudhir Chandra Sur Degree Engineering College,
JIS Group
COMPARATIVE PERFORMANCE ANALYSIS OF XORXNOR
FUNCTION BASED HIGH-SPEED CMOS FULL ADDER CIRCUITS FOR
LOW VOLTAGE VLSI DESIGN
Subodh Wairya1
, Rajendra Kumar Nagaria2
and Sudarshan Tiwari2
1
Department of Electronics Engineering, Institute of Engineering & Technology (I.E.T), Lucknow, India,
226021
2
Department of ECED, Motilal Nehru National Institute of Technology (MNNIT), Allahabad, India,
211004
ABSTRACT
This paper presents comparative study of high-speed, low-power and low voltage full adder circuits. Our
approach is based on XOR-XNOR design full adder circuits in a single unit. A low power and high
performance 9T full adder cell using a design style called “XOR (3T)” is discussed. The designed circuit
commands a high degree of regularity and symmetric higher density than the conventional CMOS design
style as well as it lowers power consumption by using XOR (3T) logic circuits. Gate Diffusion Input
(GDI) technique of low-power digital combinatorial circuit design is also described. This technique helps
in reducing the power consumption and the area of digital circuits while maintaining low complexity of
logic design. This paper analyses, evaluates and compares the performance of various adder circuits.
Several simulations conducted using different voltage supplies, load capacitors and temperature variation
demonstrate the superiority of the XOR (3T) based full adder designs in term of delay, power and power
delay product (PDP) compared to the other full adder circuits. Simulation results illustrate the superiority
of the designed adder circuits against the conventional CMOS, TG and Hybrid full adder circuits in terms
of power, delay and power delay product (PDP). .
KEYWORDS
Hybrid full adder, XOR-XNOR circuit, High Speed, Low Power, Very Large Scale Integrated (VLSI)
Circuits,
Original Source URL : https://aircconline.com/vlsics/V3N2/3212vlsics19.pdf
http://airccse.org/journal/vlsi/vol3.html
REFERENCES
[1] John P. Uyemura, (2002) Introduction to VLSI Circuits and Systems, John Wiley & Sons.
[2] Sung-Mo Kang, Y. Leblebici, (2005) CMOS Digital Integrated Circuits: Analysis and Design, Tata
McGraw Hill, New York,NY,USA.
[3] N. Weste and D. Harris, (2005) CMOS VLSI Design, Pearson Wesley.
[4] N. Zhuang and H. Wu, (1992) “A new design of the CMOS full adder,” IEEE Journal of Solid-State
Circuits, Vol. 27, No. 5, pp. 840–844.
[5] Y.Tsividis, (1996) Mixed Analog- Digital VLSI Devices and Technology, Singapore; McGraw Hill.
[6] K.M. Chu and D. Puffrey, (1987) “A Comparison of CMOS Circuit Techniques Differential Cascode
Voltage Switch Logic versus Conventional Logic,” IEEE Journal of Solid-State Circuit, Vol. SC 22,
No. 4, pp. 528- 532.
[7] A. P. Chandrakasan, S. Sheng and R. W. Bordersen, (1992) “Low-power CMOS digital design,”
IEEE Journal of Solid-State Circuits, Vol. 27, No. 4, pp. 473- 484.
[8] E. Abu-Sharma and M. Bayoumi, (1995) “A new cell for low power adders,” in Proceeding of
International Midwest Symposium Circuits System, pp. 1014-1017.
[9] I. S. Abu-Khater, A. Bellaouar, and M.I. Elmasry, (1996) “Circuit techniques for CMOS low power
high performance multipliers,” IEEE Journal of Solid- State Circuits, Vol. 31, No. 10, pp. 1535-1546.
[10] Uming Ko, Poras T. Balsara and Wai Lee, (1995) “Low-Power Design Techniques for
HighPerformance CMOS adders,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems,
Vol. 3, No. 2, pp. 327-333.
[11] A. Bellaouar and M. I. Elmasry, (1995) Low-Power Digital VLSI Design: Circuits and Systems,
Kluwer Academic.
[12] A. Parameswar, H. Hara and T. Sakurai, (1994) “A high speed, low power, swing restored
passtransistor logic based multiply and accumulate circuit for multimedia applications,” in Proceedings of
IEEE Custom Integrated Circuits Conference, San Diego, USA, pp. 278–281.
[13] A. Parameswar, H. Hara and T. Sakurai, (1996) “A swing restored pass-transistor logic-based
multiply and accumulate circuit for multimedia applications,” IEEE Journal of Solid-State Circuits, Vol.
31, No. 6, pp. 804–809.
[14] K. Yano, Y. Sasaki, K. Rikino and K. Seki, (1996) “Top-down pass-transistor logic design,” IEEE
Journal of Solid-State Circuits, Vol. 31,No. 6, pp. 792–803.
[15] Reto Zimmermann and Wolfgang Fichtner, (1997) “Low-power Logic Styles: CMOS versus pass
transistor logic,” IEEE Journal of Solid-State Circuits, Vol. 32, No. 7, pp. 1079-1090.
[16] Ahmed M. Shams, and Magdy A. Bayoumi, (2000) “A Novel High- Performance CMOS 1-Bit
FullAdder Cell,” IEEE Transaction on Circuits and Systems. II, Vol. 47, No. 5, pp. 478–481.
[17] Abdulkarim Al-Sheraidah, Yingtao Jiang, Yuke Wang and Edwin Sha, (2001) “A Novel Low Power
Multiplexer-Based Full Adder,” European Conference on Circuit Theory and Design, Espoo, Finland,
pp. I329-I332.
[18] D. Radhakrishnan, (2001) “Low-voltage low-power CMOS Full Adder,” IEE Proceedings Circuit:
Devices and Systems, Vol. 148, No. 1, pp. 19-24.
[19] Fartash Vasefi and Z. Abid, (2005) “Low Power N-bit Adders and Multiplier using lowest number of
Transistors 1-bit Adders,” in Proceedings of IEEE Conference CCECE/CCGEI, 05 Saskatoon, pp. 1731-
1734.
[20] Sumeer Goel, Ashok Kumar, Magdy A. Bayouni, (2006) “Design of Robust, Energy-Efficient Full
Adders for Deep-Submicrometer Design Using Hybrid-CMOS Logic Style,” IEEE Transactions on Very
Large Scale Integration (VLSI) Systems, Vol. 14, No. 12, pp. 1309-1321.
[21] Ahmed M. Shams, Tarek K. Darwish, and Magdy A. Bayoumi, (2002) “Performance Analysis of
Low-Power 1-Bit CMOS Full Adder Cells,” IEEE Transactions on Very Large Scale Integration (VLSI)
Systems, Vol. 10, No. 1, pp. 20–29.
[22] Mark Vesterbacka, (1999) “14-Transistor CMOS Full Adder with Full Voltage-Swing Nodes,” in
Proceedings of IEEE Workshop Signal Processing Systems, pp. 713-722.
[23] R. Shalem, E. John and L. K. John, (1999) “Novel Low Power Energy Recovery Full Adder Cell,” in
Proceeding of 9th Great Lakes Symposium on VLSI (GLSVLSI’99), pp. 380–383.
[24] Y. Jiang, A. Al-Sheraidah, Y. Wang, E. Shah, and J. Chung, (2004) “A Novel Multiplexer-Based
Low Power Full Adder,” IEEE Transactions on Circuits and Systems, Vol. 51, No. 7, pp. 345-348.
[25] Hung Tien Bui, Yuke Wang and Yingtao Jiang, (2002) “Design and Analysis of Low-Power 10-
Transistor Full Adders Using Novel XOR-XNOR Gates,” IEEE Transactions on Circuits and Systems
II: Analog and Digital Signal Processing, Vol. 49, No. 1, pp. 25-30.
[26] S. Wairya, Himanshu Pandey, R. K. Nagaria and S. Tiwari, (2010) “Ultra Low Voltage High Speed
1- Bit CMOS Adder,” in Proceedings of IEEE International Conference on Power, Control and Embedded
System (ICPCES’10), India, pp. 1-6.
[27] Chip-Hong Chang, Jiangmin Gu, Mingyan Zhang, (2005) “A Review of 0.18µm Full Adder
Performances for Tree Structured Arithmetic Circuits,” IEEE Transaction on Very Large Scale
Integration (VLSI) Systems, Vol. 13, No. 6, pp. 686-694.
[28] Sumeer Goel, Mohammed A. Elgamel, Magdy A. Bayoumi, Yasser Hanafy, (2006) “Design
Methodologies for High-Performance Noise-Tolerant XOR-XNOR Circuits,” IEEE Transactions on
Circuits and Systems- I, Vol. 53, No. 4, pp. 867-878.
[29] Jin-Fa-Lin, Yin-Tsung Hwang, Ming-Hwa Sheu, and Cheng-Che Ho, (2007) “A Novel High-Speed
and Energy Efficient 10-Transistor Full Adder Design,” IEEE Transaction on Circuits and Systems I,
Vol. 54, No. 5, pp. 1050-1059.
[30] S. Veeramachaneni, M. B. Srinivas, (2008) “New Improved 1-Bit Full Adder Cells,” CCECE
/CCGEI, May 5-7, 2008, Niagara Falls, Ontano, Canada, pp. 735-738,.
[31] Arkadiy Morgenshtein, , Alexander Fish and Israel A. Wagner, (2002) “Gate-Diffusion Input (GDI):
A Power Efficient Method for Digital Combinatorial Circuits,” IEEE Transactions on Very Large Scale
Integration (VLSI) Systems, Vol. 10 No. 5, pp. 566 -581
[32] Adarsh Kumar Agrawal, S. Wairya, R. K. Nagaria and S. Tiwari, (2009) “A New Mixed Gate
Diffusion Input Full Adder Topology for High Speed Low Power Digital Circuits,” World Applied
Sciences Journal (WASJ) 7 (Special Issue of Computer & IT): pp. 138-144.
[33] Mohammad Hossein Moaiyeri and Reza Faghih Mirzaee, (2009) “Two New Low-Power and
HighPerformance Full Adders,” Journal of Computers, Vol. 4, No. 2, pp. 119-126.
[34] MA Elgmal, S. Goel and M.A. Bayoumi, (2003) “Noise Tolerant Low Voltage XOR-XNOR for Fast
Arithmetic,” in Proceeding of IEEE Great Lakes Symposium on VLSI (GLSVLSI’ 03), 2003,Wasting
D.C., pp. 285-288.
[35] Shiv Shankar Mishra, S. Wairya, R.K. Nagaria and S. Tiwari, (2009) “New Design Methodologies
for High Speed Low Power XOR-XNOR Circuits,” Journal of World Academy Science, Engineering and
Technology (WASET), Vol. 55, No. 35, pp. 200-206.
[36] S. Wairya, R. K. Nagaria and S. Tiwari, (2010) “A Novel CMOS Full Adder Topology for Low
Voltage VLSI Applications,” in Proceeding of International Conference on Emerging Trends in Signal
Processing & VLSI Design (SPVL’10)’, Hyderabad, India, pp. 1142-1146.
[37] Shubhajit Roy Chowdhury, Aritra Banerjee, Aniruddha Roy and H. Saha, (2008) “A high Speed 8
Transistor Full Adder Design using Novel 3 Transistor XOR Gates,” International Journal of Electronics,
Circuits and Systems, WASET Fall, Vol. 2, No. 4, pp. 217-223.
[38] Mariano Aguirre-Hernandez and Monico Linares-Aranda, (2011) “CMOS Full Adders for
EnergyEfficient Arithmetic Application,” IEEE Transactions on Very Large Scale Integration (VLSI)
System, Vol. 19, No. 4, pp. 718-721.
[39] M. Hosseinghadiry, H. Mohammadi, M. Nadisenejani, (2009) “Two New Low Power High
Performance Full Adders with Minimum Gates,” International Journal of Electronics, Circuits and
Systems, Vol. 3, No. 2, pp. 124-131.
[40] Subodh Wairya, Rajendra Kumar Nagaria and Sudarshan Tiwari, (2011) “New Design
Methodologies for High-Speed Low-Voltage 1 Bit CMOS Full Adder Circuits,” Journal of Computer
Technology and Application, Vol. 2, No. 3, pp. 190-198.
[41] Subodh Wairya, Rajendra Kumar Nagaria and Sudarshan Tiwari, (2011) “New Design
Methodologies for High Speed Mixed Mode Full Adder Circuits,” International Journal of VLSI and
Communication Systems, Vol. 2, No. 2, pp. 78-98.
[42] Subodh Wairya , Garima Singh, Vishant, R. K. Nagaria and S. Tiwari (2011), “Design Analysis of
XOR (4T) based Low Voltage CMOS Full Adder Cell,” In Proceeding of IEEE International Conference
on Current Trends In Technology (NUiCONE’11), Ahmedabad, India pp. 1-7.
[43] Subodh Wairya, Rajendra Kumar Nagaria and Sudarshan Tiwari, (2012) “Performance Analysis of
High Speed Hybrid CMOS Full Adder Circuits for Low Voltage VLSI Design,” VLSI Design, Vol. 2012,
Article ID 173079, 18 pages.
MULTISIM DESIGN AND SIMULATION OF 2.2GHz LNA FOR
WIRELESS COMMUNICATION
Oluwajobi F. I, Lawalwasiu
Department of Electrical/Electronic Engineering, RUFUS GIWA Polytechnic, OWO, P.M.B 1019,
Nigeria
ABSRACT
This paper presents the work done on the design and simulation of a high frequency low noise amplifier
for wireless communication. The purpose of the amplifier is to amplify the received RF path of a wireless
network. With high gain, high sensitivity and low noise using Bipolar Junction transistor (BJT). The
design methodology requires analysis of the transistor for stability, proper matching, network selection
and fabrication. The BJT transistor was chosen for the design of the LNA due to its low noise and good
gain at high frequency. These properties were confirmed using some measurement techniques including
Network Analyzer, frequency analyzer Probe and Oscilloscope for the simulation and practical testing of
the amplifier to verify the performance of the designed High frequency Low noise amplifier. The design
goals of noise figure of 0.52dB-0.7dB and bias conditions are Vcc = 3.5 V and Icc= 55 mA to produce
16.8 dB gain across the 0.4–2.2GHz band.
KEYWORDS
Amplifier, Bipolar Junction Transistor, Stability, LNA, Fabrication, Multism
Original Source URL : https://aircconline.com/vlsics/V5N4/5414vlsi05.pdf
http://airccse.org/journal/vlsi/vol5.html
REFERENCES
[1] Dixit, N.: Design And Performance Of Low Voltage, Low Noise 900MHz Amplifier, No. 43-51, Pp.
26,2006
[2] A.O. Fadamiro1 and E.O. Ogunti Design of a High Frequency and High Sensitive Low Noise
Amplifier Asian Journal of Engineering and Technology (ISSN: 2321 – 2462) Volume 01– Issue 02,
June 2013
[3] Yu Lin Wei and Jun De Jin, “A low power low noise amplifier for K-band applications,” IEEE
Microwave and Wireless Components Letters, vol. 19, no. 2, pp. 116-118, Feb. 2009
[4] Bonghyuk Park, Sangsungs Choi, and Songcheol Hong, “A low noise amplifier with tunable
interference rejection for 3.1 to 10.6 GHz UWB systems,” IEEE Microwave and Wireless Components
Letters, vol. 20, no. 1, pp. 40-42, Jan 2010.
[5] Mercer, S.: An Introduction to Low-Noise Amplifier Design, RF Design, Pp.4 2008
[6] Viranjay M. Srivastava, K. S. Yadav, and G. Singh, “Design and performance analysis of cylindrical
surrounding double-gate MOSFET for RF switch,” Microelectronics Journal, vol. 42, no. 10, pp. 1124-
1135, Oct. 2011
[7] Shouxian, M.: Dival Band Low Noise Amplifier Design For Bluetooth And Hiper Law Application.
PhD Dissertation In Electrical and Electronics Engineering, Nanyang Technological University.2006
[8] H. W. Chiu, “A 2.17 dB NF 5 GHz band monolithic CMOS LNA with 10 mW DC power
consumption,” IEEE Trans. Microwave Theory Tech., vol. 53, no. 3, pp. 813-824, March 2005.
[9] Aniket, P.J., Mahaya, S.P., Joshi, B.C.s, Design and Development of Low Noise Amplifier for
RF/MW Receiver. Journal of Scientific Research, Vol.2 Issue 6.2013
SMART MULTICROSSBAR ROUTER DESIGN IN NOC
Bhavana Prakash Shrivastava1
and Kavita Khare2
1
Department of Electronics and Communication Engineering, Maulana Azad National Institute of
Technology, Bhopal, India
2
Department of Electronics and Communication Engineering, Maulana Azad National Institute Of
Technology, Bhopal, India
ABSTRACT
This paper gives the innovative idea of designing a router using multicrossbar switch in Network on
Chip(NoC) . In Network-on-Chip architectures the input buffer can consume a large portion of the total
power. Eliminating all input buffer would result in increased power consumption at high load, while
reducing the size of input buffer degrades the performance. In this paper we have proposed a muticrossbar
router design using elastic buffer by combining the advantage of both buffered and buffer less network. In
the proposed design Power Delay Product is reduced by around 37 .91% as compared to baseline router.
KEYWORDS
Network on chip, Virtual Channel, Virtual Allocator, Elastic Buffer, Power Delay Product (PDP).
Original Source URL : https://aircconline.com/vlsics/V4N2/4213vlsics07.pdf
http://airccse.org/journal/vlsi/vol4.html
REFERENCES
[1] R. Ho, K. W. Mai, and M. A. Horowitz (2001) “The future of wires,” Proceedings of the IEEE, vol.
89, pp. 490–504.
[2] L. Benini and G. D. Micheli (2002) “Networks on chips: A new so paradigm,” IEEE Computer, vol.
35, pp. 70–78.
[3] W. J. Dally and B. Towles(2001) “Route packets, not wires,” in Proceedings of the Design
Automation Conference (DAC), Las Vegas, NV, USA,June 18-22.
[4] J. D. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, S. W. Keckler, and L. S. Peh(2007) “Research
challenges for on-chip interconnection networks,”IEEE Micro, vol. 27, no. 5, pp. 96–108.
[5] S. Heo and K. Asanovic(2005) “Replacing global wires with an on-chip network: A power analysis,”
in Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), San
Diego, CA, USA, pp. 369–374.
[6] George Michelogiannakis, James Balfour and William J. Dally(2008) “Elastic-Buffer Flow Control
for On-Chip Networks,”IEEE micro pp.151-162
[7] T. Moscibroda and O. Mutlu(2007) “A case for bufferless routing in on-chip networks,” in
Proceedings of the 36th annual International Symposium on Computer Architecture
[8] M. Hayenga, N. E. Jerger, and M. Lipasti(2009) “Scarab: A single cycle adaptive routing and
bufferless network,” in Proceedings of the 42nd AnnualIEEE/ACM International Symposium on
Microarchitecture.
[9] A. K. Kodi, A. Sarathy, and A. Louri(2008) “ideal: Inter-router dual-function energy- and
areaefficient links for network-on-chip (noc),” in Proceedings of the 35th International Symposium on
Computer Architecture Beijing, China, pp. 241–250.
[10] C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das(2006)“ViChaR: A
dynamic virtual channel regulator for network-on-chip routers,” in MICRO’39: Proceedings of the 39th
Annual IEEE/ACM International Sympo-sium on Microarchitecture, pp. 333–346.
[11] P. Guerrier and A. Greiner(2000)“A generic architecture for on-chip packet-switched
interconnections,” in DATE ’00: Proceedings of the Conference on Design, Automation and Test in
Europe, pp. 250–256.
[12] S. Borkar(1999) “Design challenges of technology scaling,” IEEE Micro, vol. 19, pp. 23–29.
[13] D. U. Becker and W. J. Dally, “Allocator implementations for networkon-chip routers,” in SC ’09:
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis,
2009, pp.1–12.
[14] R. Mullins, A. West, and S. Moore, “Low-latency virtual-channel routers for on-chip networks,”
in ISCA ’04: Proceedings of the 31st Annual International Symposium on Computer Architecture,Jun.
2004, pp. 188–197.
AUTHORS BIOGRAPHY
Bhavana Prakash Shrivatava received her degree in Electronics and
Communication Engineering in 2003, M.Tech. degree in Digital Communication
Systems in2007.Now she is persuing her Ph.D degree in VLSI design under the
guidance of Dr.Kavita Khare. She is working as Assistant Professor in Electronics
and Communication Engineering in MANIT, Bhopal. Her fields of interest are
VLSI design and Communication Systems and networking. She is fellow of IEEE
(India).
Kavita Khare received her B.Tech degree in Electronics and Communication
Engineering in 1989, M.Tech. degree in Digital Communication Systems in 1993
and Ph.D. degree in the field of VLSI Design in 2005. She has nearly 100
publications in various international conferences and journals. Currently, she is
working as Professor of Electronics and Communication Engineering in MANIT,
Bhopal. Her fields of interest are VLSI design and Communication Systems. Her
research mainly includes design of arithmetic circuits and various communication
algorithms related to synchronization, estimation and routing. Dr. Khare is a
Fellow IETE (India) and Life Member ISTE.

More Related Content

What's hot

March 2021: Top Read Articles in VLSI design & Communication Systems
March 2021: Top Read Articles in VLSI design & Communication SystemsMarch 2021: Top Read Articles in VLSI design & Communication Systems
March 2021: Top Read Articles in VLSI design & Communication SystemsVLSICS Design
 
IRJET- Text Reading for Visually Impaired Person using Raspberry Pi
IRJET- Text Reading for Visually Impaired Person using Raspberry PiIRJET- Text Reading for Visually Impaired Person using Raspberry Pi
IRJET- Text Reading for Visually Impaired Person using Raspberry PiIRJET Journal
 
IRJET- Review on Raspberry Pi based Assistive Communication System for Blind,...
IRJET- Review on Raspberry Pi based Assistive Communication System for Blind,...IRJET- Review on Raspberry Pi based Assistive Communication System for Blind,...
IRJET- Review on Raspberry Pi based Assistive Communication System for Blind,...IRJET Journal
 
Pradeep resume_
Pradeep  resume_Pradeep  resume_
Pradeep resume_psk0212
 
Cv new basu fresher embedded
Cv new basu fresher embeddedCv new basu fresher embedded
Cv new basu fresher embeddedBasudev Mahapatra
 
VTU FINAL YEAR PROJECT REPORT Front pages
VTU FINAL YEAR PROJECT REPORT Front pagesVTU FINAL YEAR PROJECT REPORT Front pages
VTU FINAL YEAR PROJECT REPORT Front pagesathiathi3
 
IRJET - Automated Identification System using Discrete Wavelet Transform
IRJET -  	  Automated Identification System using Discrete Wavelet TransformIRJET -  	  Automated Identification System using Discrete Wavelet Transform
IRJET - Automated Identification System using Discrete Wavelet TransformIRJET Journal
 
IRJET- Wearable AI Device for Blind
IRJET- Wearable AI Device for BlindIRJET- Wearable AI Device for Blind
IRJET- Wearable AI Device for BlindIRJET Journal
 
Resume ankit lohiya_2014_fresher_ece
Resume ankit lohiya_2014_fresher_eceResume ankit lohiya_2014_fresher_ece
Resume ankit lohiya_2014_fresher_eceAnkit Lohiya
 
IRJET- Path Finder with Obstacle Avoidance Robot
IRJET-  	  Path Finder with Obstacle Avoidance RobotIRJET-  	  Path Finder with Obstacle Avoidance Robot
IRJET- Path Finder with Obstacle Avoidance RobotIRJET Journal
 

What's hot (20)

March 2021: Top Read Articles in VLSI design & Communication Systems
March 2021: Top Read Articles in VLSI design & Communication SystemsMarch 2021: Top Read Articles in VLSI design & Communication Systems
March 2021: Top Read Articles in VLSI design & Communication Systems
 
Raviteja cv
Raviteja cvRaviteja cv
Raviteja cv
 
IRJET- Text Reading for Visually Impaired Person using Raspberry Pi
IRJET- Text Reading for Visually Impaired Person using Raspberry PiIRJET- Text Reading for Visually Impaired Person using Raspberry Pi
IRJET- Text Reading for Visually Impaired Person using Raspberry Pi
 
Geetika__CV
Geetika__CVGeetika__CV
Geetika__CV
 
Jb3515641568
Jb3515641568Jb3515641568
Jb3515641568
 
IRJET- Review on Raspberry Pi based Assistive Communication System for Blind,...
IRJET- Review on Raspberry Pi based Assistive Communication System for Blind,...IRJET- Review on Raspberry Pi based Assistive Communication System for Blind,...
IRJET- Review on Raspberry Pi based Assistive Communication System for Blind,...
 
Pradeep resume_
Pradeep  resume_Pradeep  resume_
Pradeep resume_
 
Cv new basu fresher embedded
Cv new basu fresher embeddedCv new basu fresher embedded
Cv new basu fresher embedded
 
saylee_resume
saylee_resumesaylee_resume
saylee_resume
 
VTU FINAL YEAR PROJECT REPORT Front pages
VTU FINAL YEAR PROJECT REPORT Front pagesVTU FINAL YEAR PROJECT REPORT Front pages
VTU FINAL YEAR PROJECT REPORT Front pages
 
Duy CV2
Duy CV2Duy CV2
Duy CV2
 
Resume
ResumeResume
Resume
 
Nitesh Exp. PHOTO
Nitesh Exp. PHOTONitesh Exp. PHOTO
Nitesh Exp. PHOTO
 
IRJET - Automated Identification System using Discrete Wavelet Transform
IRJET -  	  Automated Identification System using Discrete Wavelet TransformIRJET -  	  Automated Identification System using Discrete Wavelet Transform
IRJET - Automated Identification System using Discrete Wavelet Transform
 
IRJET- Wearable AI Device for Blind
IRJET- Wearable AI Device for BlindIRJET- Wearable AI Device for Blind
IRJET- Wearable AI Device for Blind
 
Ijetcas14 330
Ijetcas14 330Ijetcas14 330
Ijetcas14 330
 
myrep
myrepmyrep
myrep
 
RAJALEKSHMI SANAL_RESUME
RAJALEKSHMI SANAL_RESUMERAJALEKSHMI SANAL_RESUME
RAJALEKSHMI SANAL_RESUME
 
Resume ankit lohiya_2014_fresher_ece
Resume ankit lohiya_2014_fresher_eceResume ankit lohiya_2014_fresher_ece
Resume ankit lohiya_2014_fresher_ece
 
IRJET- Path Finder with Obstacle Avoidance Robot
IRJET-  	  Path Finder with Obstacle Avoidance RobotIRJET-  	  Path Finder with Obstacle Avoidance Robot
IRJET- Path Finder with Obstacle Avoidance Robot
 

Similar to April 2020: Top Read Articles in VLSI design & Communication Systems

June 2022: Top 10 Read Articles in VLSI design & Communication Systems
June 2022: Top 10 Read Articles in VLSI design & Communication SystemsJune 2022: Top 10 Read Articles in VLSI design & Communication Systems
June 2022: Top 10 Read Articles in VLSI design & Communication SystemsVLSICS Design
 
May 2022: Top Read Articles in VLSI design & Communication Systems
May 2022: Top Read Articles in VLSI design & Communication SystemsMay 2022: Top Read Articles in VLSI design & Communication Systems
May 2022: Top Read Articles in VLSI design & Communication SystemsVLSICS Design
 
April 2022: Top Read Articles in VLSI design & Communication Systems
April 2022: Top Read Articles in VLSI design & Communication SystemsApril 2022: Top Read Articles in VLSI design & Communication Systems
April 2022: Top Read Articles in VLSI design & Communication SystemsVLSICS Design
 
June 2020: Top Downloaded Articles in VLSI design & Communication Systems
June 2020: Top Downloaded Articles in VLSI design & Communication SystemsJune 2020: Top Downloaded Articles in VLSI design & Communication Systems
June 2020: Top Downloaded Articles in VLSI design & Communication SystemsVLSICS Design
 
May 2020: Top Read Articles in VLSI design & Communication Systems
May 2020: Top Read Articles in VLSI design & Communication SystemsMay 2020: Top Read Articles in VLSI design & Communication Systems
May 2020: Top Read Articles in VLSI design & Communication SystemsVLSICS Design
 
July 2020: Top Read Articles in VLSI design & Communication Systems
July 2020: Top Read Articles in VLSI design & Communication SystemsJuly 2020: Top Read Articles in VLSI design & Communication Systems
July 2020: Top Read Articles in VLSI design & Communication SystemsVLSICS Design
 
Most Viewed Article in the last 30days in Academia - International Journal o...
Most Viewed Article in the last  30days in Academia - International Journal o...Most Viewed Article in the last  30days in Academia - International Journal o...
Most Viewed Article in the last 30days in Academia - International Journal o...VLSICS Design
 
October 2020: Top Read Articles in VLSI design & Communication Systems - Arti...
October 2020: Top Read Articles in VLSI design & Communication Systems - Arti...October 2020: Top Read Articles in VLSI design & Communication Systems - Arti...
October 2020: Top Read Articles in VLSI design & Communication Systems - Arti...VLSICS Design
 
Top Trending Article in Academia! - VLSI design & Communication Systems (VLSI...
Top Trending Article in Academia! - VLSI design & Communication Systems (VLSI...Top Trending Article in Academia! - VLSI design & Communication Systems (VLSI...
Top Trending Article in Academia! - VLSI design & Communication Systems (VLSI...VLSICS Design
 
Top Read Articles---January 2024--VLSICS
Top Read Articles---January 2024--VLSICSTop Read Articles---January 2024--VLSICS
Top Read Articles---January 2024--VLSICSVLSICS Design
 
Rahul resume
Rahul resumeRahul resume
Rahul resumeRahul M
 
Call for Papers- Special Session: Contemporary Innovations in Data Sciences, ...
Call for Papers- Special Session: Contemporary Innovations in Data Sciences, ...Call for Papers- Special Session: Contemporary Innovations in Data Sciences, ...
Call for Papers- Special Session: Contemporary Innovations in Data Sciences, ...Christo Ananth
 
resume_fullTime_28Sept,2015_part2
resume_fullTime_28Sept,2015_part2resume_fullTime_28Sept,2015_part2
resume_fullTime_28Sept,2015_part2Maithreyi Gopal
 
Driver assistance system
Driver assistance systemDriver assistance system
Driver assistance systemrahulnale175
 
Resume- Akshit Jain
Resume- Akshit JainResume- Akshit Jain
Resume- Akshit JainAkshit Jain
 
IRJET- A Review Paper on Analysis of Braking System by X-By-Wire System u...
IRJET-  	  A Review Paper on Analysis of Braking System by X-By-Wire System u...IRJET-  	  A Review Paper on Analysis of Braking System by X-By-Wire System u...
IRJET- A Review Paper on Analysis of Braking System by X-By-Wire System u...IRJET Journal
 
Preprint-IC3I2022 - 14-16 Dec 2022.pdf
Preprint-IC3I2022 - 14-16 Dec 2022.pdfPreprint-IC3I2022 - 14-16 Dec 2022.pdf
Preprint-IC3I2022 - 14-16 Dec 2022.pdfChristo Ananth
 
Preprint-CSAE,China,21-23 October 2022.pdf
Preprint-CSAE,China,21-23 October 2022.pdfPreprint-CSAE,China,21-23 October 2022.pdf
Preprint-CSAE,China,21-23 October 2022.pdfChristo Ananth
 
duoliu-resume-Oct7
duoliu-resume-Oct7duoliu-resume-Oct7
duoliu-resume-Oct7Duo Liu
 

Similar to April 2020: Top Read Articles in VLSI design & Communication Systems (20)

June 2022: Top 10 Read Articles in VLSI design & Communication Systems
June 2022: Top 10 Read Articles in VLSI design & Communication SystemsJune 2022: Top 10 Read Articles in VLSI design & Communication Systems
June 2022: Top 10 Read Articles in VLSI design & Communication Systems
 
May 2022: Top Read Articles in VLSI design & Communication Systems
May 2022: Top Read Articles in VLSI design & Communication SystemsMay 2022: Top Read Articles in VLSI design & Communication Systems
May 2022: Top Read Articles in VLSI design & Communication Systems
 
April 2022: Top Read Articles in VLSI design & Communication Systems
April 2022: Top Read Articles in VLSI design & Communication SystemsApril 2022: Top Read Articles in VLSI design & Communication Systems
April 2022: Top Read Articles in VLSI design & Communication Systems
 
June 2020: Top Downloaded Articles in VLSI design & Communication Systems
June 2020: Top Downloaded Articles in VLSI design & Communication SystemsJune 2020: Top Downloaded Articles in VLSI design & Communication Systems
June 2020: Top Downloaded Articles in VLSI design & Communication Systems
 
May 2020: Top Read Articles in VLSI design & Communication Systems
May 2020: Top Read Articles in VLSI design & Communication SystemsMay 2020: Top Read Articles in VLSI design & Communication Systems
May 2020: Top Read Articles in VLSI design & Communication Systems
 
July 2020: Top Read Articles in VLSI design & Communication Systems
July 2020: Top Read Articles in VLSI design & Communication SystemsJuly 2020: Top Read Articles in VLSI design & Communication Systems
July 2020: Top Read Articles in VLSI design & Communication Systems
 
Most Viewed Article in the last 30days in Academia - International Journal o...
Most Viewed Article in the last  30days in Academia - International Journal o...Most Viewed Article in the last  30days in Academia - International Journal o...
Most Viewed Article in the last 30days in Academia - International Journal o...
 
October 2020: Top Read Articles in VLSI design & Communication Systems - Arti...
October 2020: Top Read Articles in VLSI design & Communication Systems - Arti...October 2020: Top Read Articles in VLSI design & Communication Systems - Arti...
October 2020: Top Read Articles in VLSI design & Communication Systems - Arti...
 
Top Trending Article in Academia! - VLSI design & Communication Systems (VLSI...
Top Trending Article in Academia! - VLSI design & Communication Systems (VLSI...Top Trending Article in Academia! - VLSI design & Communication Systems (VLSI...
Top Trending Article in Academia! - VLSI design & Communication Systems (VLSI...
 
Top Read Articles---January 2024--VLSICS
Top Read Articles---January 2024--VLSICSTop Read Articles---January 2024--VLSICS
Top Read Articles---January 2024--VLSICS
 
Rahul resume
Rahul resumeRahul resume
Rahul resume
 
Geetika__Resume.
Geetika__Resume.Geetika__Resume.
Geetika__Resume.
 
Call for Papers- Special Session: Contemporary Innovations in Data Sciences, ...
Call for Papers- Special Session: Contemporary Innovations in Data Sciences, ...Call for Papers- Special Session: Contemporary Innovations in Data Sciences, ...
Call for Papers- Special Session: Contemporary Innovations in Data Sciences, ...
 
resume_fullTime_28Sept,2015_part2
resume_fullTime_28Sept,2015_part2resume_fullTime_28Sept,2015_part2
resume_fullTime_28Sept,2015_part2
 
Driver assistance system
Driver assistance systemDriver assistance system
Driver assistance system
 
Resume- Akshit Jain
Resume- Akshit JainResume- Akshit Jain
Resume- Akshit Jain
 
IRJET- A Review Paper on Analysis of Braking System by X-By-Wire System u...
IRJET-  	  A Review Paper on Analysis of Braking System by X-By-Wire System u...IRJET-  	  A Review Paper on Analysis of Braking System by X-By-Wire System u...
IRJET- A Review Paper on Analysis of Braking System by X-By-Wire System u...
 
Preprint-IC3I2022 - 14-16 Dec 2022.pdf
Preprint-IC3I2022 - 14-16 Dec 2022.pdfPreprint-IC3I2022 - 14-16 Dec 2022.pdf
Preprint-IC3I2022 - 14-16 Dec 2022.pdf
 
Preprint-CSAE,China,21-23 October 2022.pdf
Preprint-CSAE,China,21-23 October 2022.pdfPreprint-CSAE,China,21-23 October 2022.pdf
Preprint-CSAE,China,21-23 October 2022.pdf
 
duoliu-resume-Oct7
duoliu-resume-Oct7duoliu-resume-Oct7
duoliu-resume-Oct7
 

Recently uploaded

HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxvipinkmenon1
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxKartikeyaDwivedi3
 

Recently uploaded (20)

HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptx
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
Design and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdfDesign and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdf
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptx
 
POWER SYSTEMS-1 Complete notes examples
POWER SYSTEMS-1 Complete notes  examplesPOWER SYSTEMS-1 Complete notes  examples
POWER SYSTEMS-1 Complete notes examples
 

April 2020: Top Read Articles in VLSI design & Communication Systems

  • 1. April 2020: Top Read Articles in VLSI design & Communication Systems International Journal of VLSI design & Communication Systems (VLSICS) ISSN : 0976 - 1357 (Online); 0976 - 1527(print) http://airccse.org/journal/vlsi/vlsics.html
  • 2. DESIGN AND IMPLEMENTATION OF CAR PARKING SYSTEM ON FPGA Ramneet Kaur1 and Balwinder Singh2 1,2 Academic and Consultancy Services-Division, Centre for Development of Advanced Computing(C- DAC), Mohali, India ABSTRACT As, the number of vehicles are increased day by day in rapid manner. It causes the problem of traffic congestion, pollution (noise and air). To overcome this problem A FPGA based parking system has been proposed. In this paper, parking system is implemented using Finite State Machine modelling. The system has two main modules i.e. identification module and slot checking module. Identification module identifies the visitor. Slot checking module checks the slot status. These modules are modelled in HDL and implemented on FPGA. A prototype of parking system is designed with various interfaces like sensor interfacing, stepper motor and LCD. KEYWORDS Finite State Machine; Parking System; Virtex- 5; Original Source URL : https://aircconline.com/vlsics/V4N3/4313vlsics07.pdf http://airccse.org/journal/vlsi/vol4.html
  • 3. REFERENCES [1] Du Shaobo; Sun Shibao;,(2012) "The research and design of intellectual parking system based on RFID," Fuzzy Systems and Knowledge Discovery (FSKD), 2012 9th International Conference on, pp.2427-2430. [2] Gongjun Yan; Weiming Yang; Rawat, D.B.; Olariu, S.,(2011) "SmartParking: A Secure and Intelligent Parking System," Intelligent Transportation Systems Magazine, IEEE , vol.3, no.1, pp.18- 30. [3] Liu Liang; Zhang Lei; Xiao Jin; ,(2011) "The simulation of an auto-parking system," Industrial Electronics and Applications (ICIEA), 2011 6th IEEE Conference on , pp.249-253. [4] Soh Chun Khang; Teoh Jie Hong; Tan Saw Chin; Shengqiong Wang;(2010) , "Wireless MobileBased Shopping Mall Car Parking System (WMCPS)," Services Computing Conference (APSCC), 2010 IEEE Asia-Pacific , pp.573-577. [5] Gupta, A.; Divekar, R.; Agrawal, M.; ,(2010) "Autonomous parallel parking system for Ackerman steering four wheelers," Computational Intelligence and Computing Research (ICCIC), 2010 IEEE International Conference on , pp.1-6. [6] Hua-Chun Tan; Jie Zhang; Xin-Chen Ye; Hui-Ze Li; Pei Zhu; Qing-Hua Zhao;(2009) , "Intelligent car-searching system for large park," Machine Learning and Cybernetics, 2009 International Conference on , vol.6, no., pp.3134-3138. [7] Srikanth, S.V.; Pramod, P.J.; Dileep, K.P.; Tapas, S.; Patil, M.U.; Sarat, C.B.N.;(2009) , "Design and Implementation of a Prototype Smart PARKing (SPARK) System Using Wireless Sensor Networks," Advanced Information Networking and Applications Workshops, 2009. WAINA '09. International Conference on , pp.401-406. [8] Gongjun Yan; Olariu, S.; Weigle, M.C.; Abuelela, M.; ,(2008) "SmartParking: A Secure and Intelligent Parking System Using NOTICE," Intelligent Transportation Systems, 2008. ITSC 2008. 11th International IEEE Conference on , pp.569-574. [9] Tsung-hua Hsu; Jing-Fu Liu; Pen-Ning Yu; Wang-Shuan Lee; Jia-Sing Hsu; ,(2008) "Development of an automatic parking system for vehicle," Vehicle Power and Propulsion Conference, 2008. VPPC '08. IEEE , pp.1-6. [10] Insop Song; Gowan, K.; Nery, J.; Han, H.; Sheng, T.; Li, H.; Karray, F.; ,(2006) "Intelligent Parking System Design Using FPGA," Field Programmable Logic and Applications, 2006. FPL '06. International Conference on , pp.1-6. [11] http://www.ops.fhwa.dot.gov/congestion_report/chapter3.htm#footer14
  • 4. AUTHORS BIOGRAPHY Balwinder Singh has obtained his Bachelor of Technology degree from National Institute of Technology, Jalandhar and Master of Technology degree from University Centre for Inst. & Microelectronics (UCIM), Punjab University, and Chandigarh in 2002 and 2004 respectively. He is currently serving as Senior Engineer in Centre for Development of Advanced Computing (CDAC), Mohali and is a part of the teaching faculty and also pursuing Phd from GNDU Amritsar. He has 8+ years of teaching experience to both undergraduate and postgraduate students. Singh has published three books and many papers in the International & National Journal and Conferences. His current interest includes Genetic algorithms, Low Power techniques, VLSI Design & Testing, and System on Chip. Ramneet kaur has received the B.Tech. (Electronics and Communication Engineering) degree from the CTIEMT, Jalandhar affiliated to Punjab Technical University, Jalandhar in 2011, and presently she is doing M.Tech (VLSI design) degree from Centre for of Advanced Computing (CDAC), Mohali and working on her thesis work. Her area of interest is FPGA Implementation and VLSI Design.
  • 5. VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM Bijal Thakkar1 and V Jayashree2 1 Research Scholar, Electronics Dept., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji, Maharashtra, India. 2 Professor, Electronics Dept ., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji, Maharashtra, India. ABSTRACT Advanced Extensible Interface (AXI) is the most commonly used bus protocols in the day-to-day because of its high performance and high-frequency operation without using complex bridges. AXI is also backwardcompatible with existing AHB and APB interfaces. So verification of driver logic using AMBA-AXI UVM is presented in this paper. The AXI is used for multiple outstanding operations which is only possible in the other protocol but it is possible in AXI because it contains different write address and data channels and AXI also supports out of order transfer based on the transaction ID which is generated at the start of the transfer. The driver logic for the AXI has been designed and implemented using the Universal Verification Methodology (UVM).The signaling of the five channels such as write address, write data, write response, read address, read data channel of AXI protocol are considered for verification. According to the AXI protocol,the signals of these channels are driven to the interconnect and results are observed for single master and single slave. The driver logic has been implemented and verified successfully according to AXI protocol using the Rivera Pro. The results observed for single master and single slave have shown the correctness of AMBA-AXI design in Verilog. KEYWORDS AMBA(Advance Microcontroller Bus Architecture),AXI(Advanced Extensible Interface),UVM(Universal Verification Methodology),channel. Original Source URL : https://aircconline.com/vlsics/V9N3/9318vlsi03.pdf http://airccse.org/journal/vlsi/vol9.html
  • 6. REFERENCES [1] Amba axi protocol specification, arm, 2011. [2] Gayathri m, rini sebastian, silpa rose mary, anoop thomas, “a sv-uvm framework verification of sgmii ip core with reusable axi to wb bridge uvc”, ieee ,3rd international on advance computing and communication systems,jan 22 & 23 ,2016 ,coimbatore, india. [3] Rini sebastian, silpa rose mary, gayathri m, anoop thomas, “assertion based verification of sgmii ip core incorporating axi transaction verification model”, ieee,international conference on control, communication & computing india (iccc), 19-21 november 2015 , trivandrum. [4] Golla mahesh, sakthivel.s.m, verification ip for an amba-axi protocol using system verilog, international journal ofengineering research and general science, volume 3, issue 1, januaryfebruary, 2015. [5] Mahendra.b.m,ramachandra.a.c, bus functional model verification ip development of axi protocol, international journal ofengineeringresearch andgeneral science, volume 3, special issue 1, february, 2014 [6] Anusharanga, l. harivenkatesh, venkanna, design and implementation of amba-axi protocol using vhdl for soc integration, international journal of engineering research and general science, vol. 2, issue4, july- august 20,2012. AUTHORS Bijal Thakkar is currently pursuing her M.Tech in Electronics from Shivaji University, Ichalkarnji .Doing project in DKTE Society’s Textile & Engineering Institute. She Obtained her bachelor’s degree in Electronics and communication engineering from Shivaji University,Kolhapur.Her interest includes,digital circuits design and Verification.
  • 7. VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE Aparna Kharade1 and V. Jayashree2 1 Research Scholar, Electronics Dept., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji, Maharashtra, India. 2 Professor, Electronics Dept ., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji, Maharashtra, India. ABSTRACT The Advanced Microcontroller Bus Architecture (AMBA) is an open System-on-Chip bus protocol for highperformance buses to communicate with low-power devices. In the AMBA Advanced High Performance bus (AHB) a system bus is used to connect a processor, a DSP, and high-performance memory controllers where as the AMBA Advanced Peripheral Bus (APB) is used to connect (Universal Asynchronous Receiver Transmitter) UART. It also contains a Bridge, which connects the AHB and APB buses. Bridges are standard bus-to-bus interfaces that allow IPs connected to different buses to communicate with each other in a standardized way. So AHB2APB bridge is designed, implemented using VERILOG tool and tested using Verilog testbench and is reported in this paper. A synthesizable RTL code of a complex interface bridge between AHB and APB is developed and known as AHB2APB Bridge. The simulated AHB2APB Bridge results are promising and can be further tested for its verstality by writing a verification program using UVM in future. KEYWORDS AMBA; AHB2APB; SOC; VERILOG; XILINX Original Source URL : https://aircconline.com/vlsics/V9N3/9318vlsi02.pdf http://airccse.org/journal/vlsi/vol9.html
  • 8. REFERENCES [1] Jaehoon Song, Student member, IEEE et al.,” An Efficient SOC Test Technique by Reusing On/OffChip Bus Bridge”. IEEE Transactions on Circuits and Systems-I: Regular Papers, Vol. 56, No.3, March 2009. [2] Krishna Sekar, Member, IEEE et al.” Dynamically Configurable Bus Topologies for HighPerformance On-Chip Communication”. IEEE transactions on very large-scale integration (Vlsi) systems, vol. 16, no. 10, October 2008. [3] G. Geetha Reddy et al.,” Implementation of bus bridge between AHB and OCP”. Global Journal of Advanced Engineering Technologies, Vol 1, Issue4-2012 ISSN: 2277-6370. [4] Kalluri Usha and T. Ashok Kumar,” AXI To Apb Interface Design Using Verilog”. IOSR Journal of Electronics and Communication Engineering, p- ISSN: 2278-8735.Volume 8, Issue 5 (Nov. - Dec. 2013), pg. 01-09. [5] Vani.R.M and Merope,” Design of AMBA based AHB2APB bridge” IJCSNS international journal of computer science and network security, vol.10, no.11, November 2010 [6] Priyanka M Shettar1, Ashwin Kumar “Verification IP of AMBA AXI V1.0 Using UVM”. IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May -Jun. 2016), pg. 54-58. AUTHORS Aparna Ramesh Kharade is currently pursuing Mtech in electronics from Shivaji University Kolhapur.completing the project in DKTE Society’s Textile & Enginnering Institute She obtained her bachelor’s degree in Electronics from Shivaji University, Kolhapur. She obtained her diploma in Medical Electronics from Government Polytechnic Miraj. Her research interests are VLSI based SOC design and verification.
  • 9. FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CURRENT Chien-Cheng Yu1,3* , Ming-Chuen Shiau2 , and Ching-Chih Tsai3 1 Department of Electronic Engineering, Hsiuping University of Science and Technology, Taichung City, Taiwan 2 Department of Electrical Engineering, Hsiuping University of Science and Technology, Taichung City, Taiwan 3 Department of Electrical Engineering, National Chung Hsing University Taichung City, Taiwan ABSTRACT In this paper, a new five-transistor (5T) single-port Static Random Access Memory (SRAM) cell with voltage assist is proposed. Amongst them, a word line suppression circuit is designed to provide a voltage of the respective connected word line signal in a selected row cells lower than the power supply voltage VDD by a threshold voltage during a read operation, thereby to improve the read/write-ability of the cell. In addition, a voltage control circuit is coupled to the sources corresponding to driver transistors of each row memory cells. This configuration is aimed to control the source voltages of driver transistors under different operating modes. Specifically, during a read operation, a two-stage reading mechanism is engaged to increase the reading speed. Simulation results for the proposed cell design confirm that there is a conspicuous improvement in reading speed and power saving over the conventional SRAM cells, and fast writing also can be achieved. KEYWORDS Single-port, Static random access memory, Assist circuitry, Voltage control circuit, Standby start-up circuit Original Source URL : https://aircconline.com/vlsics/V9N4/9418vlsi01.pdf http://airccse.org/journal/vlsi/vol9.html
  • 10. REFERENCES [1] N. H. E. Weste and D. M. Harris, CMOS VLSI Design: A Circuits and Systems Perspective, Addison Wesley, 2011. [2] D. Helms, E. Schmidt, and W. Nebel, “Leakage in CMOS Circuits – An Introduction,” PATMOS 2004, LNCS 3254, 2004, pp. 17-35. [3] K. Roy, S. Mukhopadhyay, and H. Mahmoodi-Meimand,”Leakage Current Mechanisms and Leakage Reduction Techniques in Deep-Submicrometer CMOS Circuits,” in Proc. IEEE, vol. 91, no. 2, pp. 305- 327, Apr. 2003. [4] I. Carlson, S. Andersson, S. Natarajan, and A. Lvandpour, “A high density, low leakage, 5T SRAM for embedded caches,” in Proc. ESSCIRC 2004, Sept. 2004, pp. 215-218. [5] L. Gupta and S. Gupta, “A Survey of Design Low Power Static Random Access Memory,” Int. J. Current Trends in Engineering & Technology, vol. 3, no. 2, pp. 47-50, Mar. 2017. [6] S. Akashe, S. Bhushan, and S. Sharma, “High density and low leakage current based 5T SRAM cell using 45 nm technology,” in Proc. Int. Conf. Nanoscience, Engineering and Technology (ICONSET), Nov. 2011, pp. 346-350. [7] D. A. Hodges, H. G. Jackson, and R. A. Saleh, Analysis and Design of Digital Integrated Circuits: In Deep Submicron Technology, 3rd ed., New York: McGraw-Hill Press, 2004. [8] D. K. Shedge and V Agey, “Different types of SRAM chips for power reduction: A survey,” in Proc. 2016 3rd Int. Conf. Computing for Sustainable Global Development (INDIACom), Mar. 16-18, 2016, pp. 974-979. [9] N. Azizi, F. N. Najm, and A. Moshovos, “Low-leakage asymmetric-cell SRAM,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 11 , no. 4, pp. 701-715, Sept. 2003. [10] S. Akashe, S. Bhushan, and S. Sharma, “High Density and Low Leakage Current Based 5T SRAM Cell Using 45 nm Technology,” Romanian Journal of Information Science and Technology, vol. 15, no. 2, pp. 155-168, 2012. [11] J. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits: A Designer Perspective, 2nd ed., Upper Saddle River, NJ: Prentice-Hall, 2003. [12] H. Tran, “Demonstration of 5T SRAM and 6T Dual-Port RAM Cell Arrays,” in Proc. 1996 Symp. VLSI Circuits Dig. Tech. Papers, June 13-15, 1996, pp. 68-69. [13] D. Suneja, Nitin Chaturvedi, and S. Gurunarayanan, “A comparative analysis of read/write assist techniques on performance & margin in 6T SRAM cell design,” in Proc. 2017 Int. Conference on Computer, Communications and Electronics (Comptelix), July 1-2, 2017. [14] H. Veendrick, Nanometer CMOS ICs: From Basics to ASICs, 2nd ed., Heidelberg, Springer-Verlag, 2017. [15] S. Nalam and B. H. Calhoun, “5T SRAM With Asymmetric Sizing for Improved Read Stability,” IEEE J. Solid-State Circuits, vol. 46, no. 10, pp. 2431-2442, Oct. 2011.
  • 11. [16] H. Jeong, et al., "Switching pMOS Sense Amplifier for High-Density Low-Voltage Single-Ended SRAM," IEEE Trans. Circuits and Systems I: Regular Papers, vol. 62, no. 6, pp. 1555-1563, 2015. [17] S. K. Ojha, O. P. Singh, G. R. Mishra, and P. R. Vaya, "Analysis and design of single ended SRAM cell for low-power operation," in Proc. 2016 11th Int. Conf. Industrial and Information Systems (ICIIS), 2016, pp. 178-181. [18] S. Nalam, V. Chandra, C. Pietrzyk, R. Aitken, and B. Calhoun, “Asymmetric 6T SRAM with twophase write and split bitline differential sensing for low voltage operation,” in Proc. 11th Int. Symp. Quality Electronic Design (ISQED), Mar. 2010, pp. 139-146. [19] Y. H. Chen, et al., “A 0.6V 45nm adaptive dual-rail SRAM compiler circuit design for lower VDD_min VLSIs,” in Proc. IEEE Symp. VLSI Circuits, June 2008, pp. 210-211. [20] Y. Chung and S. H. Song, “Implementation of low-voltage static RAM with enhance data stability and circuit speed,” Microelectronics Journal, vol. 40, no. 6, pp. 944-951, 2009. [21] M. C. Shiau and S. W. Liao, “Single port SRAM having a higher voltage word line in writing operation,” TW pat. I404065 B, Aug. 1, 2013. [22] M. Yamaoka, et al., “Low-power embedded SRAM modules with expanded margins for writing,” in Proc. IEEE Int. Conf. Solid-State Circuits (ISSCC 2005), Feb. 2005, pp. 480-611. [23] H. Pilo, et al., “An SRAM design in 65nm and 45nm technology nodes featuring read and write- assist circuits to expand operating voltage,” in IEEE Symp. VLSI Circuits, June 15-17, 2006, pp. 15-16. [24] M. C. Shiau, C. C. Yu, and K. T. Chen, “Single port SRAM having a lower power voltage in writing operation”, TW pat. I426514 B, Feb. 11, 2014. [25] M. C. Shiau and W. C. Tsai, “Single port SRAM having a discharging path”, TW pat. I41916 B, Dec.11, 2013. [26] S. S. Sakhare, “Five transistor SRAM cell”, US pat. 20140029333 A1, Jan. 30, 2014. [27] M. H. Tu, J. Y Lin, M. C. Tsai, S. J. Jou, and C. T. Chuang, “Single-Ended Subthreshold SRAM With Asymmetrical Write/Read-Assist,” IEEE Trans. Circuits and Systems- I: Regular Papers, vol. 57, no. 12, pp. 3039- 3047, Dec. 2010. [28] D. P. Wang, et al., “A 45nm dual-port SRAM with write and read capability enhancement at low voltage,” in Proc. IEEE Int. Conf. SOC, Sept. 2007, pp. 211-214. [29] K. Nii, et al., “A 45-nm single-port and dual-port SRAM family with robust read/write stabilizing circuitry under DVFS environment,” in Proc. IEEE Symp. VLSI Circuits, June 2008, pp. 212-213. [30] K. Kim, J. J. Kim, and C. T. Chuang, “Asymmetrical SRAM cells with enhanced read and write margins,” in Proc. Int. Symp. VLSI Technology, Systems and Applications (VLSI-TSA), Apr. 2007, pp. 1-2. [31] A. Bhavnagarwala, et al., “Fluctuation limits & scaling opportunities for CMOS SRAM cells,” in Proc. IEEE Int. Electron Devices Meeting (IEDM 2005), Dec. 2005, pp. 659-662.
  • 12. [32] H. S. Yang, et al., “Scaling of 32nm low power SRAM with high-K metal gate,” in Proc. IEEE Int. Electron Devices Meeting (IEDM 2008), Dec. 2008, pp. 1-4. [33] M. C. Shiau and E. G. Chang, “5T single port SRAM”, TW pat. I436359 B, May 1, 2014. [34] M. C. Shiau, C. C. Yu, and K. T. Chen, “Single port SRAM with reducing standby current”, TW pat. I425510 B, Feb. 1, 2014. [35] K. Itoh, VLSI Memory Chip Designs, Heidelberg, Springer-Verlag, 2001. AUTHORS Chien-Cheng Yu received the B.S. and M.S. degrees from Feng Chia University and National Taiwan Normal University, respectively. He is currently working toward the Ph.D. degree in electrical engineering at National Chung Hsing University, Taichung City, Taiwan. In 1986, he joined Hsiuping University of Science and Technology, Taichung City, Taiwan, where he is now an Associate Professor. His current research interests include design and analysis of high-speed, low-power integrated circuits and low-voltage low-power embedded SRAM circuit design. Ming-Chuen Shiau received the B.S., M.S. and Ph.D. degrees in Electronic Engineering from National Chiao Tung University, Hsinchu, Taiwan. He is currently a Professor with the Department of Electrical Engineering at Hsiuping University of Science and Technology, Taichung City, Taiwan. His current research interests include low-power digital circuit design, SRAM design and low-voltage embedded memory circuit design. Ching-Chih Tsai received the Diplomat in electrical engineering from National Taipei Institute of Technology, Taipei, Taiwan, in 1981, the M.S. degree in control engineering from National Chiao Tung University, Hsinchu, Taiwan, in 1986, and the Ph.D. degree in electrical engineering from Northwestern University, Evanston, IL, in 1991. He is currently a Distinguished Professor in the Department of Electrical Engineering, National Chung Hsing University, Taichung City, Taiwan. His current research interests include ultrasonics, mechatronics, mobile robotics, advanced control methods, embedded control systems, and their applications to mobile robots and industrial control systems.
  • 13. STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWER 6T FINFET SRAM TOPOLOGIES Dusten Vernor, Santosh Koppa and Eugene John Department of Electrical and Computer Engineering University of Texas at San Antonio, Texas, USA ABSTRACT This paper investigates the leakage current, static noise margin (SNM), delay and energy consumption of a 6 transistor FinFET based static random-access memory (SRAM) cell due to the variation in design and operating parameters of the SRAM cell. The SRAM design and operating parameters considered in this investigation are transistor sizing, supply voltage, word-line voltage, temperature and PFET and NFET back gate biasing. This investigation is performed using a 11nm FinFET shorted gate and low power technology models. Based on the investigation results, we propose a robust 6 transistor SRAM cells with optimized performance using shorted gate and independent gate low power FinFET models. By optimizing the design parameters of the cell, the shorted-gate design shows an improvement of read SNM of 261.56mV and an improvement of hold SNM of 87.68mV when compared to a shorted-gate cell with standard design parameters. The low-power design shows an improvement of read SNM of 146.18mV and a marginal decrease in hold SNM of 22.84mV when compared to a low-power cell with standard design parameters. Both the cells with the new optimized design parameters are shown to improve the overall SNM of the cells with minimal impact on the subthreshold leakage currents, performance and energy consumption. KEYWORDS SRAM, Leakage Power, Write Delay, Read Delay, FinFET, Static Noise Margin, SNM, Back Gate Biasing. Original Source URL : https://aircconline.com/vlsics/V9N5/9518vlsi01.pdf http://airccse.org/journal/vlsi/vol9.html
  • 14. REFERENCES [1] B. Dipert. Fundamentals Of Volatile Memory Technologies. Electronic Products, 2011. [2] A. Pavlov. Cmos Sram Circuit Design And Parametric Test In Nano-Scaled Technologies, Volume 40 Of Frontiers In Electronic Testing, Springer Berlin- Heidelberg, 2008. [3] J. Colinge. Finfets And Other Multi-Gate Transistors. Springer Berlin- Heidelberg, 2008. [4] J. Rabaey. Low Power Design Essentials. Springer New York, 2009. [5] D. Payne. Designing With Finfets, Oct. 2012. Http://Www.Soi.Tec.Ufl.Edu/Ufdg.Html. [6] University Of Florida. Process/Physics-Based Generic Double-Gate Mosfet Model, Oct 2011. [7] C. Hill. Definitions Of Noise Margin In Logic Systems. Mullard Technology Communications, Pages 239–245, Sept 1967. [8] E. S. Et Al. Static-Noise Margin Analysis Of Mos Sram Cells. Ieee Journal Of Solid State Circuits, Sc-22(5):748–754, 1987. [9] B. H. Et. Al. Calhoun. Analyzing Static Noise Margin For Sub-Threshold Sram In 65nm Cmos. Proc. Esscirc, Pages 363–366, Sept 2005. [10] S. Koppa And E. B. John “Performance Tradeoffs In The Design Of Low-Power Sram Arrays For Implantable Devices”, Journal Of Low Power Electronics, Vol 14, No. 1, March 2018. [11] Monica M And P. Chandramohan, "Characterization Of 8t Sram Cells Using 16 Nm Finfet Technology," 2016 International Conference On Signal Processing And Communication (Icsc), Noida, 2016, Pp. 403-406. [12] T. S. Copetti, T. R. Balen, G. C. Medeiros And L. M. B. Poehls, "Analyzing The Behavior Of Finfet Srams With Resistive Defects," 2017 Ifip/Ieee International Conference On Very Large Scale Integration (Vlsi-Soc), Abu Dhabi, 2017, Pp. 1-6. AUTHORS Dusten Vernor received his B.S. and M.S degrees, both in Computer Engineering, from the University of Texas at San Antonio. Currently he is an engineer at Broadcom Inc. in Austin, Texas. His research interests include, Efficient VLSI System Design and Low Power VLSI Systems. Santosh Koppa received his Ph. D. in Electrical Engineering from the University of Texas at San Antonio. He is currently working in Global Foundries US Inc. His research interests include, ultra-low power analog and digital circuits, Internet of Things, low power VLSI systems. Eugene John received his Ph. D. in Electrical Engineering from the Pennsylvania State University. He is currently a professor in the department of Electrical and Computer Engineering. His research interests include, ultra-low power computing, energy efficient hardware for deep learning, low power VLSI systems, integrated circuit IP security and trust and computer architecture and performance evaluation.
  • 15. SINGLE ELECTRON TRANSISTOR: APPLICATIONS & PROBLEMS Om Kumar1 and Manjit Kaur2 1,2 VLSI-ES Department, Centre for Development of Advanced Computing, Mohali, India ABSTRACT The goal of this paper is to review in brief the basic physics of nanoelectronic device single-electron transistor [SET] as well as prospective applications and problems in their applications. SET functioning based on the controllable transfer of single electrons between small conducting "islands". The device properties dominated by the quantum mechanical properties of matter and provide new characteristics coulomb oscillation, coulomb blockade that is helpful in a number of applications. SET is able to shear domain with silicon transistor in near future and enhance the device density. Recent research in SET gives new ideas which are going to revolutionize the random access memory and digital data storage technologies. KEYWORDS Nanoelectronics; Single-electron transistor; Coulomb blockade, Coulomb oscillation, Quantum dot Original Source URL : https://aircconline.com/vlsics/V1N4/1210vlsics03.pdf http://airccse.org/journal/vlsi/vol1.html
  • 16. REFERENCES [1] M. A. Kastner, “The single electron transistor and artificial atoms”, Ann. Phy. (Leipzig), vol. 9, pp. 885-895, 2000. [2] S. Bednarek, B. Szafran, and J. Adamowski, “Solution of the Poisson Schrodinger problem for a single-electron transistor”, Phys. Rev. B, Vol. 61, pp. 4461-4464, 2000. [3] Songphol Kanjanachuchai and Somsak Panyakeow, “Beyond CMOS: Single-Electron Transistors”, IEEE International Conference on Industrial Technology, Bangkok, Thailand, 2002. [4] Masumi Saitoh, Hidehiro Harata1ion and Toshiro Hiramoto, “Room-Temperature Demonstration of Integrated Silicon Single-Electron Transistor Circuits for Current Switching and Analog Pattern Matching”, IEEE International Electron Device Meeting, San Francisco, USA, 2004. [5] K. Matsumoto, M. Ishii, K. Segawa, Y. Oka B. J. Vartanian and J. S. Harris, “Room temperature operation of a single electron transistor made by the scanning tunneling microscope nano oxidation process for the TiOx/Ti system”, Appl. Phys. Lett. 68 (1), pp. 34-36, 1996. [6] Ken Uchida, Jugli Kaga, Ryuji Ohba and Akira Toriumi, “Programmable Single-Electron Transistor Logic for Future Low-Power Intelligent LSI: Proposal and Room-Temperature Operation”, IEEE Transactions on Electron Devices, Vol. 50, No. 7, July 2003. [7] T.A. Fulton and G.D. Dolan, “Observation of single electron charging effect in small tunnelling junction”, Phys. Rev. Lett., Vol. 59, pp. 109-112, July 1987. [8] Lingjie Guo, Effendi Leobandung and Stephen Y. Chou, “A silicon Single-Electron transistor Memory operating at room temperature”, Science Vol. 275, pp. 649-651, 1997. [19] A.N. Cleand, D. Estene, C. Urbina and M.H. Devoret, “An extremely Low noise Photodetector based on the single electron Transistor” , Journal of Low Temperature Physics, Vol. 93, Nos. 3/4, pp.767- 772, 1993. [10] R. Knobel, C.s. Yung and A.N. clelanda, “Single -electron transistor as a radio frequency mixer”, Applied Physics Letters, Vol. 81, No. 3, pp. 532-534, July 2002.
  • 17. AUTHORS Om Kumar I am a Student of Masters in Technology in VLSI Design, Centre for Development of Advanced Computing(C-DAC), Mohali, India. My research interests include Semiconductor Device Physics, Nano electronics. Manjit Kaur I am Bachelor in Electronics and communication Engineering, BECT Gurdaspur,Punjab, India and Masters in Technology in Microelectronics from Punjab University, Chandigarh, India. Presently working as Design Engineer at Centre for Development of Advanced Computing(C-DAC), Mohali, India. This institute is a scientific society of the Ministry of Communications & Information Technology, Govt. of India, involved in Research and Development with an objective to create focus on Advanced Information Technologies, High-end Academics & Training relevant to R & D societies. I am associated with Masters in Technology in VLSI Des ign. My research interests include VLSI design, Low power VLSI Design techniques, Semiconductor Device Physics, Nano electronics.
  • 18. EVALUATION OF ATM FUNCTIONING USING VHDL AND FPGA Manali Dhar1 , Debolina Roy2 and Tamosha Saha3 1 Assistant Professor, Department of Electronics & Communication Engineering, DSCSDEC, Kolkata, India 2,3 UG Student, Department of Electronics & Communication Engineering, DSCSDEC, Kolkata, India ABSTRACT It has been almost four decades that banks and other financial organizations have been gradually computerised, in order to improve service and efficiency and to reduce cost. The birth of Electronic Fund Transfer and Automated Teller Machines has given rise to 24-hour banking and a greater variety of services for the customer. This method uses a computer to transfer debits and credits, with the help of electronic pulses, which are carried through wires either to a magnetic disk or tape. ATM (Automated Teller Machine) has become an important part in our daily lives. People use ATM for various purposes such as money withdrawal, checking balance, changing password etc. Since it mainly deals with people's money, it has to be a secure system on which we can rely. We have taken a step towards increasing this security and integrity by trying to implement the functioning of an ATM using VLSI-based programming, HDL(Hardware Description Language).The conventional coding languages such as C,C++ are replaced by VHDL(Very High Speed Integrated Circuit Hardware Description Language) so that the code cannot be easily hacked or changed. This article consists of an insight into the various functions that can be performed using an ATM, a brief description of the Coding and the obtained simulation results. It also consists of the implementation of the code using FPGA Kit (Spartan3; Model no.-XC 3S50). KEYWORDS ATM (Automated Teller Machine), Security, HDL (Hardware Description Language), FPGA (Field Programmable Gate Array). Original Source URL : https://aircconline.com/vlsics/V6N3/6315vlsi03.pdf http://airccse.org/journal/vlsi/vol6.html
  • 19. REFERENCES [1] Yingxu Wang and Yanan Zhang, “The Formal Design Model of an Automatic Teller Machine (ATM)” University of Calgary, Canada, International Journal of Software Science and Computational Intelligence, 2(1), 102-131, January-March 2010. [2] Avenet Avenue, user’s guide, Xilinx® Spartan™-3 Development Kit. [3] Stanley MAZOR and Patricia LINGSTRAAT, "A guide to VHDL (2nd Edition)", copyright 1993, Kluwer Achedamic Publishers, pp no: 1-1 to 7-16. [4] http://www.fpga.com.cn/hdl/training/Vhdl_Golden_Reference_Guide.pdf [5] Peter J. Ashenden and Jim Lewis, "The Designer's Guide to VHDL (3rd Edition)" copyright 2008, Morgan KJaufmann Publication, pp no:207-225. [6] Pong P. Chu "RTL Hardware Design Using VHDL, Coding For Efficiency, portability and Scalability", Willy Interscience a john wilay & sons, inc., Publication, pp no:23-160. [7] Hardware Implementation of Watchdog Timer for Application in ATM Machine Using Verilog and FPGA Iqbalur Rahman Rokon, Toufiq Rahman, Md. Murtoza Ali Quader, and Mukit Alam,International Conference on Electronics, Biomedical Engineering and its Applications (ICEBEA'2012) Jan. 7-8, 2012 Dubai AUTHORS [1] First Author – Manali Dhar, M.Tech (Microelectronics & VLSI Design), Dr. Sudhir Chandra Sur Degree Engineering College, Assistant Professor, Dept. of ECE, JIS Group and madhuja4u@gmail.com [2] Second Author – Debolina Roy, Final Year B.Tech Student (Electronics & Communication Engineering), Dr. Sudhir Chandra Sur Degree Engineering College, JIS Group [3] Third Author – Tamosha Saha, Final Year B.Tech Student (Electronics & Communication Engineering), Dr. Sudhir Chandra Sur Degree Engineering College, JIS Group
  • 20. COMPARATIVE PERFORMANCE ANALYSIS OF XORXNOR FUNCTION BASED HIGH-SPEED CMOS FULL ADDER CIRCUITS FOR LOW VOLTAGE VLSI DESIGN Subodh Wairya1 , Rajendra Kumar Nagaria2 and Sudarshan Tiwari2 1 Department of Electronics Engineering, Institute of Engineering & Technology (I.E.T), Lucknow, India, 226021 2 Department of ECED, Motilal Nehru National Institute of Technology (MNNIT), Allahabad, India, 211004 ABSTRACT This paper presents comparative study of high-speed, low-power and low voltage full adder circuits. Our approach is based on XOR-XNOR design full adder circuits in a single unit. A low power and high performance 9T full adder cell using a design style called “XOR (3T)” is discussed. The designed circuit commands a high degree of regularity and symmetric higher density than the conventional CMOS design style as well as it lowers power consumption by using XOR (3T) logic circuits. Gate Diffusion Input (GDI) technique of low-power digital combinatorial circuit design is also described. This technique helps in reducing the power consumption and the area of digital circuits while maintaining low complexity of logic design. This paper analyses, evaluates and compares the performance of various adder circuits. Several simulations conducted using different voltage supplies, load capacitors and temperature variation demonstrate the superiority of the XOR (3T) based full adder designs in term of delay, power and power delay product (PDP) compared to the other full adder circuits. Simulation results illustrate the superiority of the designed adder circuits against the conventional CMOS, TG and Hybrid full adder circuits in terms of power, delay and power delay product (PDP). . KEYWORDS Hybrid full adder, XOR-XNOR circuit, High Speed, Low Power, Very Large Scale Integrated (VLSI) Circuits, Original Source URL : https://aircconline.com/vlsics/V3N2/3212vlsics19.pdf http://airccse.org/journal/vlsi/vol3.html
  • 21. REFERENCES [1] John P. Uyemura, (2002) Introduction to VLSI Circuits and Systems, John Wiley & Sons. [2] Sung-Mo Kang, Y. Leblebici, (2005) CMOS Digital Integrated Circuits: Analysis and Design, Tata McGraw Hill, New York,NY,USA. [3] N. Weste and D. Harris, (2005) CMOS VLSI Design, Pearson Wesley. [4] N. Zhuang and H. Wu, (1992) “A new design of the CMOS full adder,” IEEE Journal of Solid-State Circuits, Vol. 27, No. 5, pp. 840–844. [5] Y.Tsividis, (1996) Mixed Analog- Digital VLSI Devices and Technology, Singapore; McGraw Hill. [6] K.M. Chu and D. Puffrey, (1987) “A Comparison of CMOS Circuit Techniques Differential Cascode Voltage Switch Logic versus Conventional Logic,” IEEE Journal of Solid-State Circuit, Vol. SC 22, No. 4, pp. 528- 532. [7] A. P. Chandrakasan, S. Sheng and R. W. Bordersen, (1992) “Low-power CMOS digital design,” IEEE Journal of Solid-State Circuits, Vol. 27, No. 4, pp. 473- 484. [8] E. Abu-Sharma and M. Bayoumi, (1995) “A new cell for low power adders,” in Proceeding of International Midwest Symposium Circuits System, pp. 1014-1017. [9] I. S. Abu-Khater, A. Bellaouar, and M.I. Elmasry, (1996) “Circuit techniques for CMOS low power high performance multipliers,” IEEE Journal of Solid- State Circuits, Vol. 31, No. 10, pp. 1535-1546. [10] Uming Ko, Poras T. Balsara and Wai Lee, (1995) “Low-Power Design Techniques for HighPerformance CMOS adders,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 3, No. 2, pp. 327-333. [11] A. Bellaouar and M. I. Elmasry, (1995) Low-Power Digital VLSI Design: Circuits and Systems, Kluwer Academic. [12] A. Parameswar, H. Hara and T. Sakurai, (1994) “A high speed, low power, swing restored passtransistor logic based multiply and accumulate circuit for multimedia applications,” in Proceedings of IEEE Custom Integrated Circuits Conference, San Diego, USA, pp. 278–281. [13] A. Parameswar, H. Hara and T. Sakurai, (1996) “A swing restored pass-transistor logic-based multiply and accumulate circuit for multimedia applications,” IEEE Journal of Solid-State Circuits, Vol. 31, No. 6, pp. 804–809. [14] K. Yano, Y. Sasaki, K. Rikino and K. Seki, (1996) “Top-down pass-transistor logic design,” IEEE Journal of Solid-State Circuits, Vol. 31,No. 6, pp. 792–803. [15] Reto Zimmermann and Wolfgang Fichtner, (1997) “Low-power Logic Styles: CMOS versus pass transistor logic,” IEEE Journal of Solid-State Circuits, Vol. 32, No. 7, pp. 1079-1090. [16] Ahmed M. Shams, and Magdy A. Bayoumi, (2000) “A Novel High- Performance CMOS 1-Bit FullAdder Cell,” IEEE Transaction on Circuits and Systems. II, Vol. 47, No. 5, pp. 478–481.
  • 22. [17] Abdulkarim Al-Sheraidah, Yingtao Jiang, Yuke Wang and Edwin Sha, (2001) “A Novel Low Power Multiplexer-Based Full Adder,” European Conference on Circuit Theory and Design, Espoo, Finland, pp. I329-I332. [18] D. Radhakrishnan, (2001) “Low-voltage low-power CMOS Full Adder,” IEE Proceedings Circuit: Devices and Systems, Vol. 148, No. 1, pp. 19-24. [19] Fartash Vasefi and Z. Abid, (2005) “Low Power N-bit Adders and Multiplier using lowest number of Transistors 1-bit Adders,” in Proceedings of IEEE Conference CCECE/CCGEI, 05 Saskatoon, pp. 1731- 1734. [20] Sumeer Goel, Ashok Kumar, Magdy A. Bayouni, (2006) “Design of Robust, Energy-Efficient Full Adders for Deep-Submicrometer Design Using Hybrid-CMOS Logic Style,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 14, No. 12, pp. 1309-1321. [21] Ahmed M. Shams, Tarek K. Darwish, and Magdy A. Bayoumi, (2002) “Performance Analysis of Low-Power 1-Bit CMOS Full Adder Cells,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 10, No. 1, pp. 20–29. [22] Mark Vesterbacka, (1999) “14-Transistor CMOS Full Adder with Full Voltage-Swing Nodes,” in Proceedings of IEEE Workshop Signal Processing Systems, pp. 713-722. [23] R. Shalem, E. John and L. K. John, (1999) “Novel Low Power Energy Recovery Full Adder Cell,” in Proceeding of 9th Great Lakes Symposium on VLSI (GLSVLSI’99), pp. 380–383. [24] Y. Jiang, A. Al-Sheraidah, Y. Wang, E. Shah, and J. Chung, (2004) “A Novel Multiplexer-Based Low Power Full Adder,” IEEE Transactions on Circuits and Systems, Vol. 51, No. 7, pp. 345-348. [25] Hung Tien Bui, Yuke Wang and Yingtao Jiang, (2002) “Design and Analysis of Low-Power 10- Transistor Full Adders Using Novel XOR-XNOR Gates,” IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, Vol. 49, No. 1, pp. 25-30. [26] S. Wairya, Himanshu Pandey, R. K. Nagaria and S. Tiwari, (2010) “Ultra Low Voltage High Speed 1- Bit CMOS Adder,” in Proceedings of IEEE International Conference on Power, Control and Embedded System (ICPCES’10), India, pp. 1-6. [27] Chip-Hong Chang, Jiangmin Gu, Mingyan Zhang, (2005) “A Review of 0.18µm Full Adder Performances for Tree Structured Arithmetic Circuits,” IEEE Transaction on Very Large Scale Integration (VLSI) Systems, Vol. 13, No. 6, pp. 686-694. [28] Sumeer Goel, Mohammed A. Elgamel, Magdy A. Bayoumi, Yasser Hanafy, (2006) “Design Methodologies for High-Performance Noise-Tolerant XOR-XNOR Circuits,” IEEE Transactions on Circuits and Systems- I, Vol. 53, No. 4, pp. 867-878. [29] Jin-Fa-Lin, Yin-Tsung Hwang, Ming-Hwa Sheu, and Cheng-Che Ho, (2007) “A Novel High-Speed and Energy Efficient 10-Transistor Full Adder Design,” IEEE Transaction on Circuits and Systems I, Vol. 54, No. 5, pp. 1050-1059. [30] S. Veeramachaneni, M. B. Srinivas, (2008) “New Improved 1-Bit Full Adder Cells,” CCECE /CCGEI, May 5-7, 2008, Niagara Falls, Ontano, Canada, pp. 735-738,.
  • 23. [31] Arkadiy Morgenshtein, , Alexander Fish and Israel A. Wagner, (2002) “Gate-Diffusion Input (GDI): A Power Efficient Method for Digital Combinatorial Circuits,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 10 No. 5, pp. 566 -581 [32] Adarsh Kumar Agrawal, S. Wairya, R. K. Nagaria and S. Tiwari, (2009) “A New Mixed Gate Diffusion Input Full Adder Topology for High Speed Low Power Digital Circuits,” World Applied Sciences Journal (WASJ) 7 (Special Issue of Computer & IT): pp. 138-144. [33] Mohammad Hossein Moaiyeri and Reza Faghih Mirzaee, (2009) “Two New Low-Power and HighPerformance Full Adders,” Journal of Computers, Vol. 4, No. 2, pp. 119-126. [34] MA Elgmal, S. Goel and M.A. Bayoumi, (2003) “Noise Tolerant Low Voltage XOR-XNOR for Fast Arithmetic,” in Proceeding of IEEE Great Lakes Symposium on VLSI (GLSVLSI’ 03), 2003,Wasting D.C., pp. 285-288. [35] Shiv Shankar Mishra, S. Wairya, R.K. Nagaria and S. Tiwari, (2009) “New Design Methodologies for High Speed Low Power XOR-XNOR Circuits,” Journal of World Academy Science, Engineering and Technology (WASET), Vol. 55, No. 35, pp. 200-206. [36] S. Wairya, R. K. Nagaria and S. Tiwari, (2010) “A Novel CMOS Full Adder Topology for Low Voltage VLSI Applications,” in Proceeding of International Conference on Emerging Trends in Signal Processing & VLSI Design (SPVL’10)’, Hyderabad, India, pp. 1142-1146. [37] Shubhajit Roy Chowdhury, Aritra Banerjee, Aniruddha Roy and H. Saha, (2008) “A high Speed 8 Transistor Full Adder Design using Novel 3 Transistor XOR Gates,” International Journal of Electronics, Circuits and Systems, WASET Fall, Vol. 2, No. 4, pp. 217-223. [38] Mariano Aguirre-Hernandez and Monico Linares-Aranda, (2011) “CMOS Full Adders for EnergyEfficient Arithmetic Application,” IEEE Transactions on Very Large Scale Integration (VLSI) System, Vol. 19, No. 4, pp. 718-721. [39] M. Hosseinghadiry, H. Mohammadi, M. Nadisenejani, (2009) “Two New Low Power High Performance Full Adders with Minimum Gates,” International Journal of Electronics, Circuits and Systems, Vol. 3, No. 2, pp. 124-131. [40] Subodh Wairya, Rajendra Kumar Nagaria and Sudarshan Tiwari, (2011) “New Design Methodologies for High-Speed Low-Voltage 1 Bit CMOS Full Adder Circuits,” Journal of Computer Technology and Application, Vol. 2, No. 3, pp. 190-198. [41] Subodh Wairya, Rajendra Kumar Nagaria and Sudarshan Tiwari, (2011) “New Design Methodologies for High Speed Mixed Mode Full Adder Circuits,” International Journal of VLSI and Communication Systems, Vol. 2, No. 2, pp. 78-98. [42] Subodh Wairya , Garima Singh, Vishant, R. K. Nagaria and S. Tiwari (2011), “Design Analysis of XOR (4T) based Low Voltage CMOS Full Adder Cell,” In Proceeding of IEEE International Conference on Current Trends In Technology (NUiCONE’11), Ahmedabad, India pp. 1-7. [43] Subodh Wairya, Rajendra Kumar Nagaria and Sudarshan Tiwari, (2012) “Performance Analysis of High Speed Hybrid CMOS Full Adder Circuits for Low Voltage VLSI Design,” VLSI Design, Vol. 2012, Article ID 173079, 18 pages.
  • 24. MULTISIM DESIGN AND SIMULATION OF 2.2GHz LNA FOR WIRELESS COMMUNICATION Oluwajobi F. I, Lawalwasiu Department of Electrical/Electronic Engineering, RUFUS GIWA Polytechnic, OWO, P.M.B 1019, Nigeria ABSRACT This paper presents the work done on the design and simulation of a high frequency low noise amplifier for wireless communication. The purpose of the amplifier is to amplify the received RF path of a wireless network. With high gain, high sensitivity and low noise using Bipolar Junction transistor (BJT). The design methodology requires analysis of the transistor for stability, proper matching, network selection and fabrication. The BJT transistor was chosen for the design of the LNA due to its low noise and good gain at high frequency. These properties were confirmed using some measurement techniques including Network Analyzer, frequency analyzer Probe and Oscilloscope for the simulation and practical testing of the amplifier to verify the performance of the designed High frequency Low noise amplifier. The design goals of noise figure of 0.52dB-0.7dB and bias conditions are Vcc = 3.5 V and Icc= 55 mA to produce 16.8 dB gain across the 0.4–2.2GHz band. KEYWORDS Amplifier, Bipolar Junction Transistor, Stability, LNA, Fabrication, Multism Original Source URL : https://aircconline.com/vlsics/V5N4/5414vlsi05.pdf http://airccse.org/journal/vlsi/vol5.html
  • 25. REFERENCES [1] Dixit, N.: Design And Performance Of Low Voltage, Low Noise 900MHz Amplifier, No. 43-51, Pp. 26,2006 [2] A.O. Fadamiro1 and E.O. Ogunti Design of a High Frequency and High Sensitive Low Noise Amplifier Asian Journal of Engineering and Technology (ISSN: 2321 – 2462) Volume 01– Issue 02, June 2013 [3] Yu Lin Wei and Jun De Jin, “A low power low noise amplifier for K-band applications,” IEEE Microwave and Wireless Components Letters, vol. 19, no. 2, pp. 116-118, Feb. 2009 [4] Bonghyuk Park, Sangsungs Choi, and Songcheol Hong, “A low noise amplifier with tunable interference rejection for 3.1 to 10.6 GHz UWB systems,” IEEE Microwave and Wireless Components Letters, vol. 20, no. 1, pp. 40-42, Jan 2010. [5] Mercer, S.: An Introduction to Low-Noise Amplifier Design, RF Design, Pp.4 2008 [6] Viranjay M. Srivastava, K. S. Yadav, and G. Singh, “Design and performance analysis of cylindrical surrounding double-gate MOSFET for RF switch,” Microelectronics Journal, vol. 42, no. 10, pp. 1124- 1135, Oct. 2011 [7] Shouxian, M.: Dival Band Low Noise Amplifier Design For Bluetooth And Hiper Law Application. PhD Dissertation In Electrical and Electronics Engineering, Nanyang Technological University.2006 [8] H. W. Chiu, “A 2.17 dB NF 5 GHz band monolithic CMOS LNA with 10 mW DC power consumption,” IEEE Trans. Microwave Theory Tech., vol. 53, no. 3, pp. 813-824, March 2005. [9] Aniket, P.J., Mahaya, S.P., Joshi, B.C.s, Design and Development of Low Noise Amplifier for RF/MW Receiver. Journal of Scientific Research, Vol.2 Issue 6.2013
  • 26. SMART MULTICROSSBAR ROUTER DESIGN IN NOC Bhavana Prakash Shrivastava1 and Kavita Khare2 1 Department of Electronics and Communication Engineering, Maulana Azad National Institute of Technology, Bhopal, India 2 Department of Electronics and Communication Engineering, Maulana Azad National Institute Of Technology, Bhopal, India ABSTRACT This paper gives the innovative idea of designing a router using multicrossbar switch in Network on Chip(NoC) . In Network-on-Chip architectures the input buffer can consume a large portion of the total power. Eliminating all input buffer would result in increased power consumption at high load, while reducing the size of input buffer degrades the performance. In this paper we have proposed a muticrossbar router design using elastic buffer by combining the advantage of both buffered and buffer less network. In the proposed design Power Delay Product is reduced by around 37 .91% as compared to baseline router. KEYWORDS Network on chip, Virtual Channel, Virtual Allocator, Elastic Buffer, Power Delay Product (PDP). Original Source URL : https://aircconline.com/vlsics/V4N2/4213vlsics07.pdf http://airccse.org/journal/vlsi/vol4.html
  • 27. REFERENCES [1] R. Ho, K. W. Mai, and M. A. Horowitz (2001) “The future of wires,” Proceedings of the IEEE, vol. 89, pp. 490–504. [2] L. Benini and G. D. Micheli (2002) “Networks on chips: A new so paradigm,” IEEE Computer, vol. 35, pp. 70–78. [3] W. J. Dally and B. Towles(2001) “Route packets, not wires,” in Proceedings of the Design Automation Conference (DAC), Las Vegas, NV, USA,June 18-22. [4] J. D. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, S. W. Keckler, and L. S. Peh(2007) “Research challenges for on-chip interconnection networks,”IEEE Micro, vol. 27, no. 5, pp. 96–108. [5] S. Heo and K. Asanovic(2005) “Replacing global wires with an on-chip network: A power analysis,” in Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), San Diego, CA, USA, pp. 369–374. [6] George Michelogiannakis, James Balfour and William J. Dally(2008) “Elastic-Buffer Flow Control for On-Chip Networks,”IEEE micro pp.151-162 [7] T. Moscibroda and O. Mutlu(2007) “A case for bufferless routing in on-chip networks,” in Proceedings of the 36th annual International Symposium on Computer Architecture [8] M. Hayenga, N. E. Jerger, and M. Lipasti(2009) “Scarab: A single cycle adaptive routing and bufferless network,” in Proceedings of the 42nd AnnualIEEE/ACM International Symposium on Microarchitecture. [9] A. K. Kodi, A. Sarathy, and A. Louri(2008) “ideal: Inter-router dual-function energy- and areaefficient links for network-on-chip (noc),” in Proceedings of the 35th International Symposium on Computer Architecture Beijing, China, pp. 241–250. [10] C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das(2006)“ViChaR: A dynamic virtual channel regulator for network-on-chip routers,” in MICRO’39: Proceedings of the 39th Annual IEEE/ACM International Sympo-sium on Microarchitecture, pp. 333–346. [11] P. Guerrier and A. Greiner(2000)“A generic architecture for on-chip packet-switched interconnections,” in DATE ’00: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 250–256. [12] S. Borkar(1999) “Design challenges of technology scaling,” IEEE Micro, vol. 19, pp. 23–29. [13] D. U. Becker and W. J. Dally, “Allocator implementations for networkon-chip routers,” in SC ’09: Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis, 2009, pp.1–12. [14] R. Mullins, A. West, and S. Moore, “Low-latency virtual-channel routers for on-chip networks,” in ISCA ’04: Proceedings of the 31st Annual International Symposium on Computer Architecture,Jun. 2004, pp. 188–197.
  • 28. AUTHORS BIOGRAPHY Bhavana Prakash Shrivatava received her degree in Electronics and Communication Engineering in 2003, M.Tech. degree in Digital Communication Systems in2007.Now she is persuing her Ph.D degree in VLSI design under the guidance of Dr.Kavita Khare. She is working as Assistant Professor in Electronics and Communication Engineering in MANIT, Bhopal. Her fields of interest are VLSI design and Communication Systems and networking. She is fellow of IEEE (India). Kavita Khare received her B.Tech degree in Electronics and Communication Engineering in 1989, M.Tech. degree in Digital Communication Systems in 1993 and Ph.D. degree in the field of VLSI Design in 2005. She has nearly 100 publications in various international conferences and journals. Currently, she is working as Professor of Electronics and Communication Engineering in MANIT, Bhopal. Her fields of interest are VLSI design and Communication Systems. Her research mainly includes design of arithmetic circuits and various communication algorithms related to synchronization, estimation and routing. Dr. Khare is a Fellow IETE (India) and Life Member ISTE.