SlideShare a Scribd company logo
1 of 8
© 2010 Altera Corporation—Confidential
ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off.
and Altera marks in and outside the U.S.
Virtual Clocks
 Virtual clocks could be defined for all input clocks and
output clocks in current design
 Virtual input clock for external launch clock in the constraint for the input
case
 Virtual output clock for external latch clock in the constraint for the output
case.
 This will separate the clock domains for the IO and core.
 This is required to set the correct clock uncertainty
numbers in the clock uncertainty constraints, which differ
for the core and the IO transfers
 Main benefit is it allows for separate and distinct clock
uncertainty constraints to be made for each unique clock
transfer.
© 2010 Altera Corporation—Confidential
ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off.
and Altera marks in and outside the U.S.
Virtual Clock Examples
D Q
clk_in
data_in
D Q
data_out
PLL
clk_in
D Q
data_in
D Q
data_out
D Q
virt_clk_in
D Q
virt_clk_out
D Q
virt_clk_in
D Q
virt_clk_out
Case A
Case B
© 2010 Altera Corporation—Confidential
ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off.
and Altera marks in and outside the U.S.
Virtual Clocks - Case A: No PLL Involved
 Traditionally, you'd set input delay and output delay with
clk_in as the reference clock
 This intra-clock transfer would appear the same as the IO clock transfer
 Incorrect clock uncertainty would be applied for IO transfers
 Virtual clocks for input transfer and output transfer
provide separate and distinct clock transfers from clk_in
core intra-clock transfer.
D Q
clk_in
data_in
D Q
data_out
D Q
virt_clk_in
D Q
virt_clk_out
Case A
© 2010 Altera Corporation—Confidential
ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off.
and Altera marks in and outside the U.S.
Virtual Clocks - Case A: No PLL Involved
 Old Constraints:
create_clock -period 10 -name clk_in [get_ports {clk_in}]
set_input_delay -clock [get_clocks {clk_in}] -max 2 [get_ports {data_in}]
set_input_delay -clock [get_clocks {clk_in}] -min 0 [get_ports {data_in}]
set_output_delay -clock [get_clocks {clk_in}] -max 2 [get_ports {data_out}]
set_output_delay -clock [get_clocks {clk_in}] -min 0 [get_ports {data_out}]
 Clock Transfers
From clk_in to clk_in only
 Resultant Clock Uncertainty
From clk_in to clk_in Setup: 150ps Hold: 50ps
D Q
clk_in
data_in
D Q
data_out
D Q
virt_clk_in
D Q
virt_clk_out
Case A
© 2010 Altera Corporation—Confidential
ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off.
and Altera marks in and outside the U.S.
Virtual Clocks - Case A: No PLL Involved
 New Constraints:
create_clock -period 10 -name clk_in [get_ports {clk_in}]
create_clock -period 10 -name virt_clk_in
create_clock -period 10 -name virt_clk_out
set_input_delay -clock [get_clocks {virt_clk_in}] -max 2 [get_ports {data_in}]
set_input_delay -clock [get_clocks {virt_clk_in}] -min 0 [get_ports {data_in}]
set_output_delay -clock [get_clocks {virt_clk_out}] -max 2 [get_ports {data_out}]
set_output_delay -clock [get_clocks {virt_clk_out}] -min 0 [get_ports {data_out}]
 Clock Transfers
From clk_in to clk_in
From virt_clk_in to clk_in
From clk_in to virt_clk_out
 Resultant Clock Uncertainty
From clk_in to clk_in Setup: 150ps Hold: 50ps
From virt_clk_in to clk_in Setup: 130ps Hold: 130ps
From clk_in to virt_clk_out Setup: 130ps Hold: 130ps
D Q
clk_in
data_in
D Q
data_out
D Q
virt_clk_in
D Q
virt_clk_out
Case A
© 2010 Altera Corporation—Confidential
ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off.
and Altera marks in and outside the U.S.
Virtual Clocks - Case B: PLL Involved
 Traditionally, you'd set input delay and output delay with clk_in as the
reference clock
 Separate transfers would happen in this case
 Transfers: clk_in to PLL, PLL to PLL, PLL to clk_in
 Virtual clocks for input transfer and output transfer provide separate
and distinct clock transfers from clk_in to core, intra-clock transfer of
core PLL clock, and core PLL clock to output.
 The use of virtual clocks will separate a presumed inter-clock core
transfer from clk_in to PLL from an IO transfer to/from the PLL.
PLL
clk_in
D Q
data_in
D Q
data_out
D Q
virt_clk_in
D Q
virt_clk_out
Case B
© 2010 Altera Corporation—Confidential
ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off.
and Altera marks in and outside the U.S.
Virtual Clocks - Case B: PLL Involved
 Old Constraints:
create_clock -period 10 -name clk_in [get_ports {clk_in}]
set_input_delay -clock [get_clocks {clk_in}] -max 2 [get_ports {data_in}]
set_input_delay -clock [get_clocks {clk_in}] -min 0 [get_ports {data_in}]
set_output_delay -clock [get_clocks {clk_in}] -max 2 [get_ports {data_out}]
set_output_delay -clock [get_clocks {clk_in}] -min 0 [get_ports {data_out}]
derive_pll_clocks
 Clock Transfers
From clk_in to pll_clk0
From pll_clk0 to pll_clk0
From pll_clk0 to clk_in
 Resultant Clock Uncertainty (based on example design PLL settings)
From clk_in to pll_clk0 Setup: 270ps Hold: 230ps
From pll_clk0 to pll_clk0 Setup: 100ps Hold: 50ps
From pll_clk0 to clk_in Setup: 230ps Hold: 270ps
PLL
clk_in
D Q
data_in
D Q
data_out
D Q
virt_clk_in
D Q
virt_clk_out
Case B
© 2010 Altera Corporation—Confidential
ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off.
and Altera marks in and outside the U.S.
Virtual Clocks - Case B: PLL Involved
 Old Constraints:
create_clock -period 10 -name clk_in [get_ports {clk_in}]
create_clock -period 10 -name virt_clk_in
create_clock -period 10 -name virt_clk_out
set_input_delay -clock [get_clocks {virt_in_refclk }] -max 1 [get_ports {data_in_a* data_in_b* }]
set_input_delay -clock [get_clocks {virt_in_refclk }] -min 0 [get_ports {data_in_a* data_in_b* }]
set_output_delay -clock [get_clocks {virt_out_refclk }] -max 1 [get_ports {data_out* }]
set_output_delay -clock [get_clocks {virt_out_refclk }] -min 0 [get_ports {data_out* }]
derive_pll_clocks
 Clock Transfers
From virt_clk_in to pll_clk0
From pll_clk0 to pll_clk0
From pll_clk0 to virt_clk_out
 Resultant Clock Uncertainty (based on example design PLL settings)
From virt_clk_in to pll_clk0 Setup: 150ps Hold: 100ps
From pll_clk0 to pll_clk0 Setup: 100ps Hold: 50ps
From pll_clk0 to virt_clk_out Setup: 100ps Hold: 150ps
PLL
clk_in
D Q
data_in
D Q
data_out
D Q
virt_clk_in
D Q
virt_clk_out
Case B

More Related Content

Similar to Virtual Clocks.ppt

Applied motion products b lu dc_datasheet
Applied motion products b lu dc_datasheetApplied motion products b lu dc_datasheet
Applied motion products b lu dc_datasheetElectromate
 
Original IGBT FGH30S130P FGH30S 30A 1300V TO-3PN New ON
Original IGBT FGH30S130P FGH30S 30A 1300V TO-3PN New ONOriginal IGBT FGH30S130P FGH30S 30A 1300V TO-3PN New ON
Original IGBT FGH30S130P FGH30S 30A 1300V TO-3PN New ONauthelectroniccom
 
OpenWorld Sep14 12c for_developers
OpenWorld Sep14 12c for_developersOpenWorld Sep14 12c for_developers
OpenWorld Sep14 12c for_developersConnor McDonald
 
Day 20.1 configuringframerelay
Day 20.1 configuringframerelayDay 20.1 configuringframerelay
Day 20.1 configuringframerelayCYBERINTELLIGENTS
 
Intelligent mcc Siemens
Intelligent mcc Siemens Intelligent mcc Siemens
Intelligent mcc Siemens Praveen Patil
 
TDA7729 -STMicroelectronics- Electronics-chip.com
TDA7729 -STMicroelectronics- Electronics-chip.comTDA7729 -STMicroelectronics- Electronics-chip.com
TDA7729 -STMicroelectronics- Electronics-chip.comKenny Choi
 
INFLUXQL & TICKSCRIPT
INFLUXQL & TICKSCRIPTINFLUXQL & TICKSCRIPT
INFLUXQL & TICKSCRIPTInfluxData
 
metadatacoreProperties.xmlModel2015-07-13T030104Zthua3267th.docx
metadatacoreProperties.xmlModel2015-07-13T030104Zthua3267th.docxmetadatacoreProperties.xmlModel2015-07-13T030104Zthua3267th.docx
metadatacoreProperties.xmlModel2015-07-13T030104Zthua3267th.docxARIV4
 
TC74ACT244FT PSpice Model (Free SPICE Model)
TC74ACT244FT PSpice Model (Free SPICE Model)TC74ACT244FT PSpice Model (Free SPICE Model)
TC74ACT244FT PSpice Model (Free SPICE Model)Tsuyoshi Horigome
 
Dcc3 1(cctk)support for newly added bios tokens
Dcc3 1(cctk)support for newly added bios tokensDcc3 1(cctk)support for newly added bios tokens
Dcc3 1(cctk)support for newly added bios tokensartisriva
 
Computer Science Training,IT Training,CS Training,Computer Training Institute,
Computer Science Training,IT Training,CS Training,Computer Training Institute,Computer Science Training,IT Training,CS Training,Computer Training Institute,
Computer Science Training,IT Training,CS Training,Computer Training Institute,Technogroovy
 

Similar to Virtual Clocks.ppt (20)

5 Cool Things About SQL
5 Cool Things About SQL5 Cool Things About SQL
5 Cool Things About SQL
 
FUNTTEL_0304.pdf
FUNTTEL_0304.pdfFUNTTEL_0304.pdf
FUNTTEL_0304.pdf
 
Applied motion products b lu dc_datasheet
Applied motion products b lu dc_datasheetApplied motion products b lu dc_datasheet
Applied motion products b lu dc_datasheet
 
Original IGBT FGH30S130P FGH30S 30A 1300V TO-3PN New ON
Original IGBT FGH30S130P FGH30S 30A 1300V TO-3PN New ONOriginal IGBT FGH30S130P FGH30S 30A 1300V TO-3PN New ON
Original IGBT FGH30S130P FGH30S 30A 1300V TO-3PN New ON
 
74 ls76
74 ls7674 ls76
74 ls76
 
74 ls76
74 ls7674 ls76
74 ls76
 
OpenWorld Sep14 12c for_developers
OpenWorld Sep14 12c for_developersOpenWorld Sep14 12c for_developers
OpenWorld Sep14 12c for_developers
 
Day 20.3 frame relay
Day 20.3 frame relay Day 20.3 frame relay
Day 20.3 frame relay
 
Day 20.1 configuringframerelay
Day 20.1 configuringframerelayDay 20.1 configuringframerelay
Day 20.1 configuringframerelay
 
FINAL PRESENTATION_PREETIKA
FINAL PRESENTATION_PREETIKAFINAL PRESENTATION_PREETIKA
FINAL PRESENTATION_PREETIKA
 
Intelligent mcc Siemens
Intelligent mcc Siemens Intelligent mcc Siemens
Intelligent mcc Siemens
 
Disney Max 6000 Configurations
Disney Max 6000 ConfigurationsDisney Max 6000 Configurations
Disney Max 6000 Configurations
 
SC-SCA.ppt
SC-SCA.pptSC-SCA.ppt
SC-SCA.ppt
 
TDA7729 -STMicroelectronics- Electronics-chip.com
TDA7729 -STMicroelectronics- Electronics-chip.comTDA7729 -STMicroelectronics- Electronics-chip.com
TDA7729 -STMicroelectronics- Electronics-chip.com
 
INFLUXQL & TICKSCRIPT
INFLUXQL & TICKSCRIPTINFLUXQL & TICKSCRIPT
INFLUXQL & TICKSCRIPT
 
metadatacoreProperties.xmlModel2015-07-13T030104Zthua3267th.docx
metadatacoreProperties.xmlModel2015-07-13T030104Zthua3267th.docxmetadatacoreProperties.xmlModel2015-07-13T030104Zthua3267th.docx
metadatacoreProperties.xmlModel2015-07-13T030104Zthua3267th.docx
 
Intelligent mcc
Intelligent mccIntelligent mcc
Intelligent mcc
 
TC74ACT244FT PSpice Model (Free SPICE Model)
TC74ACT244FT PSpice Model (Free SPICE Model)TC74ACT244FT PSpice Model (Free SPICE Model)
TC74ACT244FT PSpice Model (Free SPICE Model)
 
Dcc3 1(cctk)support for newly added bios tokens
Dcc3 1(cctk)support for newly added bios tokensDcc3 1(cctk)support for newly added bios tokens
Dcc3 1(cctk)support for newly added bios tokens
 
Computer Science Training,IT Training,CS Training,Computer Training Institute,
Computer Science Training,IT Training,CS Training,Computer Training Institute,Computer Science Training,IT Training,CS Training,Computer Training Institute,
Computer Science Training,IT Training,CS Training,Computer Training Institute,
 

Recently uploaded

Eden Gardens ^ best call girls in Kolkata ₹7.5k Pick Up & Drop With Cash Paym...
Eden Gardens ^ best call girls in Kolkata ₹7.5k Pick Up & Drop With Cash Paym...Eden Gardens ^ best call girls in Kolkata ₹7.5k Pick Up & Drop With Cash Paym...
Eden Gardens ^ best call girls in Kolkata ₹7.5k Pick Up & Drop With Cash Paym...HyderabadDolls
 
Top profile Call Girls In Moradabad [ 7014168258 ] Call Me For Genuine Models...
Top profile Call Girls In Moradabad [ 7014168258 ] Call Me For Genuine Models...Top profile Call Girls In Moradabad [ 7014168258 ] Call Me For Genuine Models...
Top profile Call Girls In Moradabad [ 7014168258 ] Call Me For Genuine Models...nirzagarg
 
❤️ Call Girls Service Amritsar Call Girls (Adult Only) 💯Call Us 🔝 6378878445 ...
❤️ Call Girls Service Amritsar Call Girls (Adult Only) 💯Call Us 🔝 6378878445 ...❤️ Call Girls Service Amritsar Call Girls (Adult Only) 💯Call Us 🔝 6378878445 ...
❤️ Call Girls Service Amritsar Call Girls (Adult Only) 💯Call Us 🔝 6378878445 ...vershagrag
 
Branding in the Psychedelic Landscape Report.pdf
Branding in the Psychedelic Landscape Report.pdfBranding in the Psychedelic Landscape Report.pdf
Branding in the Psychedelic Landscape Report.pdfAlexandra Plesner
 
9352852248 Call Girls Naroda Escort Service Available 24×7 In Naroda
9352852248 Call Girls  Naroda Escort Service Available 24×7 In Naroda9352852248 Call Girls  Naroda Escort Service Available 24×7 In Naroda
9352852248 Call Girls Naroda Escort Service Available 24×7 In Narodagargpaaro
 
怎样办理莫纳什大学毕业证(Monash毕业证书)成绩单留信认证
怎样办理莫纳什大学毕业证(Monash毕业证书)成绩单留信认证怎样办理莫纳什大学毕业证(Monash毕业证书)成绩单留信认证
怎样办理莫纳什大学毕业证(Monash毕业证书)成绩单留信认证ehyxf
 
一比一定(购)卡尔顿大学毕业证(CU毕业证)成绩单学位证
一比一定(购)卡尔顿大学毕业证(CU毕业证)成绩单学位证一比一定(购)卡尔顿大学毕业证(CU毕业证)成绩单学位证
一比一定(购)卡尔顿大学毕业证(CU毕业证)成绩单学位证wpkuukw
 
Raebareli Girl Whatsapp Number 📞 8617370543 | Girls Number for Friendship
Raebareli Girl Whatsapp Number 📞 8617370543 | Girls Number for FriendshipRaebareli Girl Whatsapp Number 📞 8617370543 | Girls Number for Friendship
Raebareli Girl Whatsapp Number 📞 8617370543 | Girls Number for FriendshipNitya salvi
 
Just Call Vip call girls Fatehpur Escorts ☎️8617370543 Two shot with one girl...
Just Call Vip call girls Fatehpur Escorts ☎️8617370543 Two shot with one girl...Just Call Vip call girls Fatehpur Escorts ☎️8617370543 Two shot with one girl...
Just Call Vip call girls Fatehpur Escorts ☎️8617370543 Two shot with one girl...Nitya salvi
 
Top profile Call Girls In Mau [ 7014168258 ] Call Me For Genuine Models We ar...
Top profile Call Girls In Mau [ 7014168258 ] Call Me For Genuine Models We ar...Top profile Call Girls In Mau [ 7014168258 ] Call Me For Genuine Models We ar...
Top profile Call Girls In Mau [ 7014168258 ] Call Me For Genuine Models We ar...nirzagarg
 
Radhanpur / Independent Call Girls Ahmedabad Phone No 8005736733 Elite Escort...
Radhanpur / Independent Call Girls Ahmedabad Phone No 8005736733 Elite Escort...Radhanpur / Independent Call Girls Ahmedabad Phone No 8005736733 Elite Escort...
Radhanpur / Independent Call Girls Ahmedabad Phone No 8005736733 Elite Escort...gragchanchal546
 
How to Build a Simple Shopify Website
How to Build a Simple Shopify WebsiteHow to Build a Simple Shopify Website
How to Build a Simple Shopify Websitemark11275
 
Just Call Vip call girls Kasganj Escorts ☎️8617370543 Two shot with one girl ...
Just Call Vip call girls Kasganj Escorts ☎️8617370543 Two shot with one girl ...Just Call Vip call girls Kasganj Escorts ☎️8617370543 Two shot with one girl ...
Just Call Vip call girls Kasganj Escorts ☎️8617370543 Two shot with one girl ...Nitya salvi
 
LANDSCAPE ARCHITECTURE PORTFOLIO - MAREK MITACEK
LANDSCAPE ARCHITECTURE PORTFOLIO - MAREK MITACEKLANDSCAPE ARCHITECTURE PORTFOLIO - MAREK MITACEK
LANDSCAPE ARCHITECTURE PORTFOLIO - MAREK MITACEKMarekMitek1
 
Abortion pills in Riyadh +966572737505 <> buy cytotec <> unwanted kit Saudi A...
Abortion pills in Riyadh +966572737505 <> buy cytotec <> unwanted kit Saudi A...Abortion pills in Riyadh +966572737505 <> buy cytotec <> unwanted kit Saudi A...
Abortion pills in Riyadh +966572737505 <> buy cytotec <> unwanted kit Saudi A...samsungultra782445
 
Dahisar Comfortable Call Girls ,09167354423,Mira Road Model Call Girls
Dahisar Comfortable Call Girls ,09167354423,Mira Road Model Call GirlsDahisar Comfortable Call Girls ,09167354423,Mira Road Model Call Girls
Dahisar Comfortable Call Girls ,09167354423,Mira Road Model Call GirlsPriya Reddy
 
怎样办理伯明翰大学学院毕业证(Birmingham毕业证书)成绩单留信认证
怎样办理伯明翰大学学院毕业证(Birmingham毕业证书)成绩单留信认证怎样办理伯明翰大学学院毕业证(Birmingham毕业证书)成绩单留信认证
怎样办理伯明翰大学学院毕业证(Birmingham毕业证书)成绩单留信认证eeanqy
 
Design-System - FinTech - Isadora Agency
Design-System - FinTech - Isadora AgencyDesign-System - FinTech - Isadora Agency
Design-System - FinTech - Isadora AgencyIsadora Agency
 
Only Cash On Delivery Call Girls Service In Mysore Enjoy 24/7 Escort Service
Only Cash On Delivery Call Girls Service In Mysore Enjoy 24/7 Escort ServiceOnly Cash On Delivery Call Girls Service In Mysore Enjoy 24/7 Escort Service
Only Cash On Delivery Call Girls Service In Mysore Enjoy 24/7 Escort Servicevershagrag
 
Mohanlalganj ! Call Girls in Lucknow - 450+ Call Girl Cash Payment 9548273370...
Mohanlalganj ! Call Girls in Lucknow - 450+ Call Girl Cash Payment 9548273370...Mohanlalganj ! Call Girls in Lucknow - 450+ Call Girl Cash Payment 9548273370...
Mohanlalganj ! Call Girls in Lucknow - 450+ Call Girl Cash Payment 9548273370...gargpaaro
 

Recently uploaded (20)

Eden Gardens ^ best call girls in Kolkata ₹7.5k Pick Up & Drop With Cash Paym...
Eden Gardens ^ best call girls in Kolkata ₹7.5k Pick Up & Drop With Cash Paym...Eden Gardens ^ best call girls in Kolkata ₹7.5k Pick Up & Drop With Cash Paym...
Eden Gardens ^ best call girls in Kolkata ₹7.5k Pick Up & Drop With Cash Paym...
 
Top profile Call Girls In Moradabad [ 7014168258 ] Call Me For Genuine Models...
Top profile Call Girls In Moradabad [ 7014168258 ] Call Me For Genuine Models...Top profile Call Girls In Moradabad [ 7014168258 ] Call Me For Genuine Models...
Top profile Call Girls In Moradabad [ 7014168258 ] Call Me For Genuine Models...
 
❤️ Call Girls Service Amritsar Call Girls (Adult Only) 💯Call Us 🔝 6378878445 ...
❤️ Call Girls Service Amritsar Call Girls (Adult Only) 💯Call Us 🔝 6378878445 ...❤️ Call Girls Service Amritsar Call Girls (Adult Only) 💯Call Us 🔝 6378878445 ...
❤️ Call Girls Service Amritsar Call Girls (Adult Only) 💯Call Us 🔝 6378878445 ...
 
Branding in the Psychedelic Landscape Report.pdf
Branding in the Psychedelic Landscape Report.pdfBranding in the Psychedelic Landscape Report.pdf
Branding in the Psychedelic Landscape Report.pdf
 
9352852248 Call Girls Naroda Escort Service Available 24×7 In Naroda
9352852248 Call Girls  Naroda Escort Service Available 24×7 In Naroda9352852248 Call Girls  Naroda Escort Service Available 24×7 In Naroda
9352852248 Call Girls Naroda Escort Service Available 24×7 In Naroda
 
怎样办理莫纳什大学毕业证(Monash毕业证书)成绩单留信认证
怎样办理莫纳什大学毕业证(Monash毕业证书)成绩单留信认证怎样办理莫纳什大学毕业证(Monash毕业证书)成绩单留信认证
怎样办理莫纳什大学毕业证(Monash毕业证书)成绩单留信认证
 
一比一定(购)卡尔顿大学毕业证(CU毕业证)成绩单学位证
一比一定(购)卡尔顿大学毕业证(CU毕业证)成绩单学位证一比一定(购)卡尔顿大学毕业证(CU毕业证)成绩单学位证
一比一定(购)卡尔顿大学毕业证(CU毕业证)成绩单学位证
 
Raebareli Girl Whatsapp Number 📞 8617370543 | Girls Number for Friendship
Raebareli Girl Whatsapp Number 📞 8617370543 | Girls Number for FriendshipRaebareli Girl Whatsapp Number 📞 8617370543 | Girls Number for Friendship
Raebareli Girl Whatsapp Number 📞 8617370543 | Girls Number for Friendship
 
Just Call Vip call girls Fatehpur Escorts ☎️8617370543 Two shot with one girl...
Just Call Vip call girls Fatehpur Escorts ☎️8617370543 Two shot with one girl...Just Call Vip call girls Fatehpur Escorts ☎️8617370543 Two shot with one girl...
Just Call Vip call girls Fatehpur Escorts ☎️8617370543 Two shot with one girl...
 
Top profile Call Girls In Mau [ 7014168258 ] Call Me For Genuine Models We ar...
Top profile Call Girls In Mau [ 7014168258 ] Call Me For Genuine Models We ar...Top profile Call Girls In Mau [ 7014168258 ] Call Me For Genuine Models We ar...
Top profile Call Girls In Mau [ 7014168258 ] Call Me For Genuine Models We ar...
 
Radhanpur / Independent Call Girls Ahmedabad Phone No 8005736733 Elite Escort...
Radhanpur / Independent Call Girls Ahmedabad Phone No 8005736733 Elite Escort...Radhanpur / Independent Call Girls Ahmedabad Phone No 8005736733 Elite Escort...
Radhanpur / Independent Call Girls Ahmedabad Phone No 8005736733 Elite Escort...
 
How to Build a Simple Shopify Website
How to Build a Simple Shopify WebsiteHow to Build a Simple Shopify Website
How to Build a Simple Shopify Website
 
Just Call Vip call girls Kasganj Escorts ☎️8617370543 Two shot with one girl ...
Just Call Vip call girls Kasganj Escorts ☎️8617370543 Two shot with one girl ...Just Call Vip call girls Kasganj Escorts ☎️8617370543 Two shot with one girl ...
Just Call Vip call girls Kasganj Escorts ☎️8617370543 Two shot with one girl ...
 
LANDSCAPE ARCHITECTURE PORTFOLIO - MAREK MITACEK
LANDSCAPE ARCHITECTURE PORTFOLIO - MAREK MITACEKLANDSCAPE ARCHITECTURE PORTFOLIO - MAREK MITACEK
LANDSCAPE ARCHITECTURE PORTFOLIO - MAREK MITACEK
 
Abortion pills in Riyadh +966572737505 <> buy cytotec <> unwanted kit Saudi A...
Abortion pills in Riyadh +966572737505 <> buy cytotec <> unwanted kit Saudi A...Abortion pills in Riyadh +966572737505 <> buy cytotec <> unwanted kit Saudi A...
Abortion pills in Riyadh +966572737505 <> buy cytotec <> unwanted kit Saudi A...
 
Dahisar Comfortable Call Girls ,09167354423,Mira Road Model Call Girls
Dahisar Comfortable Call Girls ,09167354423,Mira Road Model Call GirlsDahisar Comfortable Call Girls ,09167354423,Mira Road Model Call Girls
Dahisar Comfortable Call Girls ,09167354423,Mira Road Model Call Girls
 
怎样办理伯明翰大学学院毕业证(Birmingham毕业证书)成绩单留信认证
怎样办理伯明翰大学学院毕业证(Birmingham毕业证书)成绩单留信认证怎样办理伯明翰大学学院毕业证(Birmingham毕业证书)成绩单留信认证
怎样办理伯明翰大学学院毕业证(Birmingham毕业证书)成绩单留信认证
 
Design-System - FinTech - Isadora Agency
Design-System - FinTech - Isadora AgencyDesign-System - FinTech - Isadora Agency
Design-System - FinTech - Isadora Agency
 
Only Cash On Delivery Call Girls Service In Mysore Enjoy 24/7 Escort Service
Only Cash On Delivery Call Girls Service In Mysore Enjoy 24/7 Escort ServiceOnly Cash On Delivery Call Girls Service In Mysore Enjoy 24/7 Escort Service
Only Cash On Delivery Call Girls Service In Mysore Enjoy 24/7 Escort Service
 
Mohanlalganj ! Call Girls in Lucknow - 450+ Call Girl Cash Payment 9548273370...
Mohanlalganj ! Call Girls in Lucknow - 450+ Call Girl Cash Payment 9548273370...Mohanlalganj ! Call Girls in Lucknow - 450+ Call Girl Cash Payment 9548273370...
Mohanlalganj ! Call Girls in Lucknow - 450+ Call Girl Cash Payment 9548273370...
 

Virtual Clocks.ppt

  • 1. © 2010 Altera Corporation—Confidential ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off. and Altera marks in and outside the U.S. Virtual Clocks  Virtual clocks could be defined for all input clocks and output clocks in current design  Virtual input clock for external launch clock in the constraint for the input case  Virtual output clock for external latch clock in the constraint for the output case.  This will separate the clock domains for the IO and core.  This is required to set the correct clock uncertainty numbers in the clock uncertainty constraints, which differ for the core and the IO transfers  Main benefit is it allows for separate and distinct clock uncertainty constraints to be made for each unique clock transfer.
  • 2. © 2010 Altera Corporation—Confidential ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off. and Altera marks in and outside the U.S. Virtual Clock Examples D Q clk_in data_in D Q data_out PLL clk_in D Q data_in D Q data_out D Q virt_clk_in D Q virt_clk_out D Q virt_clk_in D Q virt_clk_out Case A Case B
  • 3. © 2010 Altera Corporation—Confidential ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off. and Altera marks in and outside the U.S. Virtual Clocks - Case A: No PLL Involved  Traditionally, you'd set input delay and output delay with clk_in as the reference clock  This intra-clock transfer would appear the same as the IO clock transfer  Incorrect clock uncertainty would be applied for IO transfers  Virtual clocks for input transfer and output transfer provide separate and distinct clock transfers from clk_in core intra-clock transfer. D Q clk_in data_in D Q data_out D Q virt_clk_in D Q virt_clk_out Case A
  • 4. © 2010 Altera Corporation—Confidential ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off. and Altera marks in and outside the U.S. Virtual Clocks - Case A: No PLL Involved  Old Constraints: create_clock -period 10 -name clk_in [get_ports {clk_in}] set_input_delay -clock [get_clocks {clk_in}] -max 2 [get_ports {data_in}] set_input_delay -clock [get_clocks {clk_in}] -min 0 [get_ports {data_in}] set_output_delay -clock [get_clocks {clk_in}] -max 2 [get_ports {data_out}] set_output_delay -clock [get_clocks {clk_in}] -min 0 [get_ports {data_out}]  Clock Transfers From clk_in to clk_in only  Resultant Clock Uncertainty From clk_in to clk_in Setup: 150ps Hold: 50ps D Q clk_in data_in D Q data_out D Q virt_clk_in D Q virt_clk_out Case A
  • 5. © 2010 Altera Corporation—Confidential ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off. and Altera marks in and outside the U.S. Virtual Clocks - Case A: No PLL Involved  New Constraints: create_clock -period 10 -name clk_in [get_ports {clk_in}] create_clock -period 10 -name virt_clk_in create_clock -period 10 -name virt_clk_out set_input_delay -clock [get_clocks {virt_clk_in}] -max 2 [get_ports {data_in}] set_input_delay -clock [get_clocks {virt_clk_in}] -min 0 [get_ports {data_in}] set_output_delay -clock [get_clocks {virt_clk_out}] -max 2 [get_ports {data_out}] set_output_delay -clock [get_clocks {virt_clk_out}] -min 0 [get_ports {data_out}]  Clock Transfers From clk_in to clk_in From virt_clk_in to clk_in From clk_in to virt_clk_out  Resultant Clock Uncertainty From clk_in to clk_in Setup: 150ps Hold: 50ps From virt_clk_in to clk_in Setup: 130ps Hold: 130ps From clk_in to virt_clk_out Setup: 130ps Hold: 130ps D Q clk_in data_in D Q data_out D Q virt_clk_in D Q virt_clk_out Case A
  • 6. © 2010 Altera Corporation—Confidential ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off. and Altera marks in and outside the U.S. Virtual Clocks - Case B: PLL Involved  Traditionally, you'd set input delay and output delay with clk_in as the reference clock  Separate transfers would happen in this case  Transfers: clk_in to PLL, PLL to PLL, PLL to clk_in  Virtual clocks for input transfer and output transfer provide separate and distinct clock transfers from clk_in to core, intra-clock transfer of core PLL clock, and core PLL clock to output.  The use of virtual clocks will separate a presumed inter-clock core transfer from clk_in to PLL from an IO transfer to/from the PLL. PLL clk_in D Q data_in D Q data_out D Q virt_clk_in D Q virt_clk_out Case B
  • 7. © 2010 Altera Corporation—Confidential ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off. and Altera marks in and outside the U.S. Virtual Clocks - Case B: PLL Involved  Old Constraints: create_clock -period 10 -name clk_in [get_ports {clk_in}] set_input_delay -clock [get_clocks {clk_in}] -max 2 [get_ports {data_in}] set_input_delay -clock [get_clocks {clk_in}] -min 0 [get_ports {data_in}] set_output_delay -clock [get_clocks {clk_in}] -max 2 [get_ports {data_out}] set_output_delay -clock [get_clocks {clk_in}] -min 0 [get_ports {data_out}] derive_pll_clocks  Clock Transfers From clk_in to pll_clk0 From pll_clk0 to pll_clk0 From pll_clk0 to clk_in  Resultant Clock Uncertainty (based on example design PLL settings) From clk_in to pll_clk0 Setup: 270ps Hold: 230ps From pll_clk0 to pll_clk0 Setup: 100ps Hold: 50ps From pll_clk0 to clk_in Setup: 230ps Hold: 270ps PLL clk_in D Q data_in D Q data_out D Q virt_clk_in D Q virt_clk_out Case B
  • 8. © 2010 Altera Corporation—Confidential ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are Reg. U.S. Pat. & Tm. Off. and Altera marks in and outside the U.S. Virtual Clocks - Case B: PLL Involved  Old Constraints: create_clock -period 10 -name clk_in [get_ports {clk_in}] create_clock -period 10 -name virt_clk_in create_clock -period 10 -name virt_clk_out set_input_delay -clock [get_clocks {virt_in_refclk }] -max 1 [get_ports {data_in_a* data_in_b* }] set_input_delay -clock [get_clocks {virt_in_refclk }] -min 0 [get_ports {data_in_a* data_in_b* }] set_output_delay -clock [get_clocks {virt_out_refclk }] -max 1 [get_ports {data_out* }] set_output_delay -clock [get_clocks {virt_out_refclk }] -min 0 [get_ports {data_out* }] derive_pll_clocks  Clock Transfers From virt_clk_in to pll_clk0 From pll_clk0 to pll_clk0 From pll_clk0 to virt_clk_out  Resultant Clock Uncertainty (based on example design PLL settings) From virt_clk_in to pll_clk0 Setup: 150ps Hold: 100ps From pll_clk0 to pll_clk0 Setup: 100ps Hold: 50ps From pll_clk0 to virt_clk_out Setup: 100ps Hold: 150ps PLL clk_in D Q data_in D Q data_out D Q virt_clk_in D Q virt_clk_out Case B