SlideShare a Scribd company logo
HARDWARE DESCRIPTION LANGUAGE
BASIC CONCEPTS
LECTURE - I
Presented By :
Parag Parandkar
CONTENTS COVERAGE
Why VHDL ?
Simulation Fundamentals
Simulation Cycle
Digital Simulator
Modeling of Hardware
Language Basics
Building Blocks in VHDL
Design Units and Libraries
WHY VHDL
Why VHDL ?
Public Availability
Developed initiated under Government Contract
Now is an IEEE standard
Design Methodology and Design Technology
Support
Technology and Process Independent
Wide Range of Descriptive capabilities
Digital System (e.g. box) level to gate level
Capability of mixing descriptions
Design Exchange
Large Scale Design and Design re-use
WHAT’S VHDL
Very High Speed Integrated Circuit Hardware
Description Language
Can be used to
Describing,
Modeling, and
Designing digital systems
For the goals of
Requirement specification
Documentation
Testing using simulation
Verification
Synthesizing digital circuits
SIMULATION FUNDAMENTALS
Purpose of simulation is to verify the behavior of
a system by applying stimulation at the inputs
and monitoring the response of the system over a
period of time.
There are three types of simulators :
 Purely analog simulator.
 A simulator with both digital and analog simulation capabilities.
 Purely digital simulator.
In digital simulation only logic level of the
measured quantity is determined; no precise
value is required.
In analog simulation the precise values of the
measured quantities are determined
SIMULATION FUNDAMENTALS(CONTD.)
The system is described with a Hardware
Description Language (HDL). The design
contains a number of concurrently operating
blocks connected with each other by signals.
Maintains node values at logic level.
Maintains a time wheel to model propagation of
time.
Evaluates circuit behavior at intervals of time.
The interval is chosen as the smallest unit of time
after which a node can change its state.
MODELING HARDWARE
The VHDL Language
 A language for describing digital and analog
systems.
 Makes no assumptions about the technology.
 Multiple levels of abstraction for modeling
Behavioral
Structural
Dataflow
Mixed
 Behavioral model, timing model and structural
model are integrated.
 A VHDL process models a block and a VHDL
signal models the connection between different
blocks.
STRUCTURAL MODEL
Digital circuits consist of components and
interconnection between them.
A component can in turn be composed of sub-
components and their interconnections.
A component interacts with other components
through pins.
Component is modeled as entity.
Component pins are modeled as ports.
Interconnections between components are
modeled as signals.
BEHAVIORAL MODEL
The behavior of a component is modeled inside
an architecture body of the entity
It may be described using a collection of
concurrently executing statements
A concurrent statement is sensitive to a set of
input signals and is executed whenever any of its
sensitive signal changes its value
A concurrent statement called process statement
can contain one or more sequential statements
A set of sequential statements can be clubbed
together in a subprogram
DATAFLOW MODEL
The flow of data through the entity is modelled
primarily using concurrent signal assignment
statements.
The structure of the entity is not explicitly
specified but it can be implicitly deduced.
 Architecture MYARCH of MYENT is
 begin
 SUM <= A xor B after 8ns;
 end MYARCH;
A SIMPLE EXAMPLE
entity Xor_gate is
port (in1, in2 : in bit; Out1 : out bit);
end Xor_gate ;
architecture behavioral of Xor_gate is
begin
process
begin
Out1 <= In1 xor In2;
wait on In1, in2;
end process;
end behavioral;
VHDL LIBRARIES DESIGN UNITS
 A VHDL library is a host dependent storage facility for
intermediate-form representations of analyzed design
units
 A design unit is a VHDL construction that can be
independently analyzed and stored in a design library. A
design unit may be a primary or a secondary one.
 Primary design unit
 entity decl, package decl and configuration decl
 Secondary design unit
architecture body and package body
 In a library, there can be only one primary unit of same
name but there can be multiple secondary units by same
name
 A secondary unit can have name same as primary unit
BUILDING BLOCKS IN VHDL
Entity Declaration
generic, port, declarations, statements
Architecture Body
declarations, statements
Subprogram Declaration
parameter - list
Subprogram Specification
declarations statements
Package Declarations
declarations
Package Bodies
declarations, subprogram body
ENTITY
provides a name to the component
contains the port definitions in the interface list
can contain some generic definitions which can
be used to override default values
entity identifier is
 generic interface_list;
 port interface_list;
 declarations
 begin
 statements
end [entity] [identifier];
EXAMPLE
entity Adder is
port ( A : in Bit;
B : in Bit;
Sum : out Bit;
Cout : out Bit );
end Adder;
Adder
Sum
Cout
A
B
ARCHITECTURE
encapsulates the behavior and timing
information
contains a number of concurrent statements
there can be multiple architecture bodies for a
given entity
architecture identifier of entity_name is
 declarations
begin
 statements
end [architecture] [identifier];
EXAMPLE-1
architecture Behavioral_Desc of Adder is
begin
process (A, B)
Sum <= A or B;
Cout <= A and B;
end process;
end Behavioral_Desc
EXAMPLE-2
architecture Struct_Desc of Adder is
-- declarations
component Or_Comp
port ( X : in Bit; Y : in Bit; Out : out Bit);
end component;
component And_Comp
port ( X : in Bit; Y : in Bit; Out : out Bit);
end component;
begin
-- component instantiations
A1 : Or_Comp port_map ( X => A, y =>B, Out => SUM);
B1 : And_Comp port_map ( X => A, y =>B, Out => Cout);
end Struct_Desc;

More Related Content

Similar to VHDL lecture 1.ppt

Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
Arshit Rai
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
Arshit Rai
 
System verilog important
System verilog importantSystem verilog important
System verilog important
elumalai7
 
DSD
DSDDSD
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
SIVA NAGENDRA REDDY
 
HDL (hardware description language) presentation
HDL (hardware description language) presentationHDL (hardware description language) presentation
HDL (hardware description language) presentation
Digital Marketing Evangelist
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
ashokqis
 
INTRODUCTION TO VHDL
INTRODUCTION    TO    VHDLINTRODUCTION    TO    VHDL
INTRODUCTION TO VHDL
karthikpunuru
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_finalAkash Chowdhury
 
VHDL - Part 2
VHDL - Part 2VHDL - Part 2
VHDL - Part 2
Abhilash Nair
 
Verilog
VerilogVerilog
Verilog
Mohamed Rayan
 
OVERVIEW OF HARDWARE DESCRIPTION LANGUAGES (HDLs)
OVERVIEW OF HARDWARE DESCRIPTION LANGUAGES (HDLs) OVERVIEW OF HARDWARE DESCRIPTION LANGUAGES (HDLs)
OVERVIEW OF HARDWARE DESCRIPTION LANGUAGES (HDLs)
Dr.YNM
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
Abhilash Nair
 
DLD5.pdf
DLD5.pdfDLD5.pdf
DLD5.pdf
Shashi738182
 
VHDL_VIKAS.pptx
VHDL_VIKAS.pptxVHDL_VIKAS.pptx
VHDL_VIKAS.pptx
ABHISHEKJHA176786
 
vhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer designvhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer design
keerthikagovindasamy
 
Report on VLSI
Report on VLSIReport on VLSI
Report on VLSI
MAYANK KUMAR
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
Dhaval Shukla
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
Arshit Rai
 

Similar to VHDL lecture 1.ppt (20)

Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
System verilog important
System verilog importantSystem verilog important
System verilog important
 
DSD
DSDDSD
DSD
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
HDL (hardware description language) presentation
HDL (hardware description language) presentationHDL (hardware description language) presentation
HDL (hardware description language) presentation
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
 
INTRODUCTION TO VHDL
INTRODUCTION    TO    VHDLINTRODUCTION    TO    VHDL
INTRODUCTION TO VHDL
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_final
 
VHDL - Part 2
VHDL - Part 2VHDL - Part 2
VHDL - Part 2
 
Verilog
VerilogVerilog
Verilog
 
OVERVIEW OF HARDWARE DESCRIPTION LANGUAGES (HDLs)
OVERVIEW OF HARDWARE DESCRIPTION LANGUAGES (HDLs) OVERVIEW OF HARDWARE DESCRIPTION LANGUAGES (HDLs)
OVERVIEW OF HARDWARE DESCRIPTION LANGUAGES (HDLs)
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
 
DLD5.pdf
DLD5.pdfDLD5.pdf
DLD5.pdf
 
VHDL_VIKAS.pptx
VHDL_VIKAS.pptxVHDL_VIKAS.pptx
VHDL_VIKAS.pptx
 
Vhdl new
Vhdl newVhdl new
Vhdl new
 
vhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer designvhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer design
 
Report on VLSI
Report on VLSIReport on VLSI
Report on VLSI
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 

Recently uploaded

一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理
peuce
 
Schematic Diagram MSI MS-7309 - REV 1.0 PDF .pdf
Schematic Diagram MSI MS-7309 - REV 1.0 PDF .pdfSchematic Diagram MSI MS-7309 - REV 1.0 PDF .pdf
Schematic Diagram MSI MS-7309 - REV 1.0 PDF .pdf
nikoloco007
 
一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理
一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理
一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理
aozcue
 
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...
Peter Gallagher
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理
aozcue
 
欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台
欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台
欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台
andreassenrolf537
 
LORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDAR
LORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDARLORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDAR
LORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDAR
lorraineandreiamcidl
 
加急办理美国南加州大学毕业证文凭毕业证原版一模一样
加急办理美国南加州大学毕业证文凭毕业证原版一模一样加急办理美国南加州大学毕业证文凭毕业证原版一模一样
加急办理美国南加州大学毕业证文凭毕业证原版一模一样
u0g33km
 

Recently uploaded (8)

一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理
 
Schematic Diagram MSI MS-7309 - REV 1.0 PDF .pdf
Schematic Diagram MSI MS-7309 - REV 1.0 PDF .pdfSchematic Diagram MSI MS-7309 - REV 1.0 PDF .pdf
Schematic Diagram MSI MS-7309 - REV 1.0 PDF .pdf
 
一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理
一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理
一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理
 
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理
 
欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台
欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台
欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台
 
LORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDAR
LORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDARLORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDAR
LORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDAR
 
加急办理美国南加州大学毕业证文凭毕业证原版一模一样
加急办理美国南加州大学毕业证文凭毕业证原版一模一样加急办理美国南加州大学毕业证文凭毕业证原版一模一样
加急办理美国南加州大学毕业证文凭毕业证原版一模一样
 

VHDL lecture 1.ppt

  • 1. HARDWARE DESCRIPTION LANGUAGE BASIC CONCEPTS LECTURE - I Presented By : Parag Parandkar
  • 2. CONTENTS COVERAGE Why VHDL ? Simulation Fundamentals Simulation Cycle Digital Simulator Modeling of Hardware Language Basics Building Blocks in VHDL Design Units and Libraries
  • 3. WHY VHDL Why VHDL ? Public Availability Developed initiated under Government Contract Now is an IEEE standard Design Methodology and Design Technology Support Technology and Process Independent Wide Range of Descriptive capabilities Digital System (e.g. box) level to gate level Capability of mixing descriptions Design Exchange Large Scale Design and Design re-use
  • 4. WHAT’S VHDL Very High Speed Integrated Circuit Hardware Description Language Can be used to Describing, Modeling, and Designing digital systems For the goals of Requirement specification Documentation Testing using simulation Verification Synthesizing digital circuits
  • 5. SIMULATION FUNDAMENTALS Purpose of simulation is to verify the behavior of a system by applying stimulation at the inputs and monitoring the response of the system over a period of time. There are three types of simulators :  Purely analog simulator.  A simulator with both digital and analog simulation capabilities.  Purely digital simulator. In digital simulation only logic level of the measured quantity is determined; no precise value is required. In analog simulation the precise values of the measured quantities are determined
  • 6. SIMULATION FUNDAMENTALS(CONTD.) The system is described with a Hardware Description Language (HDL). The design contains a number of concurrently operating blocks connected with each other by signals. Maintains node values at logic level. Maintains a time wheel to model propagation of time. Evaluates circuit behavior at intervals of time. The interval is chosen as the smallest unit of time after which a node can change its state.
  • 7. MODELING HARDWARE The VHDL Language  A language for describing digital and analog systems.  Makes no assumptions about the technology.  Multiple levels of abstraction for modeling Behavioral Structural Dataflow Mixed  Behavioral model, timing model and structural model are integrated.  A VHDL process models a block and a VHDL signal models the connection between different blocks.
  • 8. STRUCTURAL MODEL Digital circuits consist of components and interconnection between them. A component can in turn be composed of sub- components and their interconnections. A component interacts with other components through pins. Component is modeled as entity. Component pins are modeled as ports. Interconnections between components are modeled as signals.
  • 9. BEHAVIORAL MODEL The behavior of a component is modeled inside an architecture body of the entity It may be described using a collection of concurrently executing statements A concurrent statement is sensitive to a set of input signals and is executed whenever any of its sensitive signal changes its value A concurrent statement called process statement can contain one or more sequential statements A set of sequential statements can be clubbed together in a subprogram
  • 10. DATAFLOW MODEL The flow of data through the entity is modelled primarily using concurrent signal assignment statements. The structure of the entity is not explicitly specified but it can be implicitly deduced.  Architecture MYARCH of MYENT is  begin  SUM <= A xor B after 8ns;  end MYARCH;
  • 11. A SIMPLE EXAMPLE entity Xor_gate is port (in1, in2 : in bit; Out1 : out bit); end Xor_gate ; architecture behavioral of Xor_gate is begin process begin Out1 <= In1 xor In2; wait on In1, in2; end process; end behavioral;
  • 12. VHDL LIBRARIES DESIGN UNITS  A VHDL library is a host dependent storage facility for intermediate-form representations of analyzed design units  A design unit is a VHDL construction that can be independently analyzed and stored in a design library. A design unit may be a primary or a secondary one.  Primary design unit  entity decl, package decl and configuration decl  Secondary design unit architecture body and package body  In a library, there can be only one primary unit of same name but there can be multiple secondary units by same name  A secondary unit can have name same as primary unit
  • 13. BUILDING BLOCKS IN VHDL Entity Declaration generic, port, declarations, statements Architecture Body declarations, statements Subprogram Declaration parameter - list Subprogram Specification declarations statements Package Declarations declarations Package Bodies declarations, subprogram body
  • 14. ENTITY provides a name to the component contains the port definitions in the interface list can contain some generic definitions which can be used to override default values entity identifier is  generic interface_list;  port interface_list;  declarations  begin  statements end [entity] [identifier];
  • 15. EXAMPLE entity Adder is port ( A : in Bit; B : in Bit; Sum : out Bit; Cout : out Bit ); end Adder; Adder Sum Cout A B
  • 16. ARCHITECTURE encapsulates the behavior and timing information contains a number of concurrent statements there can be multiple architecture bodies for a given entity architecture identifier of entity_name is  declarations begin  statements end [architecture] [identifier];
  • 17. EXAMPLE-1 architecture Behavioral_Desc of Adder is begin process (A, B) Sum <= A or B; Cout <= A and B; end process; end Behavioral_Desc
  • 18. EXAMPLE-2 architecture Struct_Desc of Adder is -- declarations component Or_Comp port ( X : in Bit; Y : in Bit; Out : out Bit); end component; component And_Comp port ( X : in Bit; Y : in Bit; Out : out Bit); end component; begin -- component instantiations A1 : Or_Comp port_map ( X => A, y =>B, Out => SUM); B1 : And_Comp port_map ( X => A, y =>B, Out => Cout); end Struct_Desc;