Vamshi Krishna Boosam is an ASIC engineer with over 4 years of experience in ASIC verification and design. He has expertise in verification IP development using SystemVerilog and UVM methodologies. Some of his project experience includes verifying the MIPI-DPHY IP, developing test benches for the Dhanush WPU SOC, and verifying low power functionality at the gate level. He is proficient in SystemVerilog, C, UVM, and Perl scripting.
The document provides configuration steps for Verint Ultra Suite to successfully interoperate with Avaya Communication Manager 2.1. It describes testing the Ultra call recording solution, which uses Computer Telephony Integration to extract call details from Avaya Communication Manager. The solution supports active station-side recording via E1 trunks and Avaya Communication Manager API, as well as passive tapping of analog stations, E1 trunks, and VoIP. [END SUMMARY]
Catalyst Smart Operations : Simplify Your NetworkCisco Russia
This document discusses several Cisco Catalyst Smart Operations technologies including Auto Secure, Interface Templates, Easy VSS, and AutoConf. Auto Secure simplifies security configuration with one command to enable DHCP snooping, ARP inspection, and port security globally and per port. Interface Templates provide predefined configurations that can be applied to interfaces with one command. AutoConf automates the application of Interface Templates to simplify network configuration.
This document outlines the scope for a CCTV central monitoring system software application. The key aspects are:
1) The software will allow observers to monitor live and recorded video from 54 store locations from a central location and send alerts to store managers about any incidents.
2) It will integrate workflows for setting up reporting items, assigning observers to locations, and alerts for incidents.
3) The software will convert analog camera feeds to digital format and allow simultaneous viewing, playback, recording and archiving of video across the network. It will also include user authorization controls and settings for video quality.
In this presentation, we will be sharing a collection of several vital configuration tips and tricks which are widely implemented across mid-size to large enterprise WLAN. Our primary focus would be on Security as well as Performance characteristics of Aruba WLAN networks. Check out the webinar recording where this presentation was used: https://community.arubanetworks.com/t5/Wireless-Access/Airheads-Technical-Webinar-Recording-Slides-Aruba-OS/m-p/277294
Register for the upcoming webinars: https://community.arubanetworks.com/t5/Training-Certification-Career/EMEA-Airheads-Webinars-Jul-Dec-2017/td-p/271908
Aftek provides services for verticals such as Telecom, Home Automation, Security Control, Transportation, Energy and Automotive.
We provide business solutions for Mobile and Wireless applications, Embedded systems, e-Business, Real-time applications, Enterprise applications and Networking.
This presentation will cover a brief insight into how Mixed model IAP deployments are done and what are the effects of APP RF feature within IAP deployments.
Check on the link below for the webinar recording where this presentation was used.
http://community.arubanetworks.com/t5/Aruba-Instant-Cloud-Wi-Fi/Airheads-Technical-Webinar-Recording-Slides-Instant-AP-APP-RF/td-p/273380
Register for the upcoming webinars: https://community.arubanetworks.com/t5/Training-Certification-Career/EMEA-Airheads-Webinars-Jul-Dec-2017/td-p/271908
The document provides information about Permaconn Wireless Technology, a company that provides wireless security technology and alarm communication products. Key details include:
- Permaconn operates wireless alarm networks in Australia, New Zealand, USA, South Africa, and Papua New Guinea using GPRS, 3G, and IP networks.
- It offers fixed price data plans for its communicators that comply with monitoring classes and provides redundancy through dual SIMs and communication paths.
- Products include the PM35 IP-only communicator, PM45-3G dual SIM communicator, and PM1030 communicator that reports over 3G, GPRS, IP and PSTN networks.
This session provides an overview of HPE's Software Defined Networking (SDN) feature set and will review the benefits of following SDN apps for network operations and IT security teams: HPE Network Protector, HP Network Optimizer, and HP Visualizer.
The document provides configuration steps for Verint Ultra Suite to successfully interoperate with Avaya Communication Manager 2.1. It describes testing the Ultra call recording solution, which uses Computer Telephony Integration to extract call details from Avaya Communication Manager. The solution supports active station-side recording via E1 trunks and Avaya Communication Manager API, as well as passive tapping of analog stations, E1 trunks, and VoIP. [END SUMMARY]
Catalyst Smart Operations : Simplify Your NetworkCisco Russia
This document discusses several Cisco Catalyst Smart Operations technologies including Auto Secure, Interface Templates, Easy VSS, and AutoConf. Auto Secure simplifies security configuration with one command to enable DHCP snooping, ARP inspection, and port security globally and per port. Interface Templates provide predefined configurations that can be applied to interfaces with one command. AutoConf automates the application of Interface Templates to simplify network configuration.
This document outlines the scope for a CCTV central monitoring system software application. The key aspects are:
1) The software will allow observers to monitor live and recorded video from 54 store locations from a central location and send alerts to store managers about any incidents.
2) It will integrate workflows for setting up reporting items, assigning observers to locations, and alerts for incidents.
3) The software will convert analog camera feeds to digital format and allow simultaneous viewing, playback, recording and archiving of video across the network. It will also include user authorization controls and settings for video quality.
In this presentation, we will be sharing a collection of several vital configuration tips and tricks which are widely implemented across mid-size to large enterprise WLAN. Our primary focus would be on Security as well as Performance characteristics of Aruba WLAN networks. Check out the webinar recording where this presentation was used: https://community.arubanetworks.com/t5/Wireless-Access/Airheads-Technical-Webinar-Recording-Slides-Aruba-OS/m-p/277294
Register for the upcoming webinars: https://community.arubanetworks.com/t5/Training-Certification-Career/EMEA-Airheads-Webinars-Jul-Dec-2017/td-p/271908
Aftek provides services for verticals such as Telecom, Home Automation, Security Control, Transportation, Energy and Automotive.
We provide business solutions for Mobile and Wireless applications, Embedded systems, e-Business, Real-time applications, Enterprise applications and Networking.
This presentation will cover a brief insight into how Mixed model IAP deployments are done and what are the effects of APP RF feature within IAP deployments.
Check on the link below for the webinar recording where this presentation was used.
http://community.arubanetworks.com/t5/Aruba-Instant-Cloud-Wi-Fi/Airheads-Technical-Webinar-Recording-Slides-Instant-AP-APP-RF/td-p/273380
Register for the upcoming webinars: https://community.arubanetworks.com/t5/Training-Certification-Career/EMEA-Airheads-Webinars-Jul-Dec-2017/td-p/271908
The document provides information about Permaconn Wireless Technology, a company that provides wireless security technology and alarm communication products. Key details include:
- Permaconn operates wireless alarm networks in Australia, New Zealand, USA, South Africa, and Papua New Guinea using GPRS, 3G, and IP networks.
- It offers fixed price data plans for its communicators that comply with monitoring classes and provides redundancy through dual SIMs and communication paths.
- Products include the PM35 IP-only communicator, PM45-3G dual SIM communicator, and PM1030 communicator that reports over 3G, GPRS, IP and PSTN networks.
This session provides an overview of HPE's Software Defined Networking (SDN) feature set and will review the benefits of following SDN apps for network operations and IT security teams: HPE Network Protector, HP Network Optimizer, and HP Visualizer.
In this presentation, we will be debugging Aruba RAP commands, run through troubleshooting and logs and tackle RAP clusters.
Check out the webinar recording where this presentation was used: http://community.arubanetworks.com/t5/Wireless-Access/Technical-Webinar-Recording-Slides-Aruba-Remote-Access-Point-RAP/td-p/310448
Sumanth S has over 9 years of experience in software development with specialization in C and C++. He has experience developing applications for Windows, Linux, HP-UX, VxWorks and other operating systems. Some of the key projects he has worked on include developing RIP modules for Ricoh printers, an infotainment system for Porsche, and software for medical devices from companies like Fluke Biomedical and Oridion. He is currently working as a Technical Leader at Wipro Technologies developing software for printers.
This document discusses data center fabric architectures and HPE's approach. It begins with defining the goals of a data center fabric and how graph theory can help design efficient topologies. It then covers common fabric designs like CLOS/fat tree and discusses their advantages. The document presents HPE's flexible approach using software-defined networking and outlines options for building fabrics with layer 2, layer 3, or overlays. It also covers capabilities like LAN/SAN convergence, data center interconnect, and network virtualization. Finally, it introduces HPE's Altoline/OpenSwitch platform as an open network operating system.
Ft McDowell Casino Partners with DW Spectrum IPVMS - Migration Case Studymegapixelnews
Ft McDowell Tribal Gaming Organization / Yavapai Nation partners with DW Spectrum IPVMS software platform from Digital Watchdog. A comprehensive system history and case study.
PathTrak™ Video Monitoring System for Cable TVAndrew Tram
The document describes PathTrak, a video monitoring system that monitors video quality all the way to the RF edge. It discusses how most providers only monitor the backbone or content origination points, missing issues at the edge. PathTrak uses probes like the VSA and RSAM5800 to monitor MPEG streams and RF signals at the edge. This helps identify issues quickly without relying on customer complaints, reducing trouble tickets and churn.
Embedded services by Faststream TechnologiesHari Narayana
Faststream Technologies Provides various Embedded Services and solutions. Faststream, a vanguard of technology solutions, specializing in Product & System Engineering, Digital Transformation, IOT, Big Data, Security, and Application Development with a global footprint across North America, EMEA and APAC.
"In a mobile-first environment, breadth and depth of visibility into users, clients, applications, RF and non-RF elements
that impact user experience are a must. Learn how AirWave delivers powerful network health assurance with advanced monitoring capabilities to eliminate
problems before they occur, and the intelligence to troubleshoot rapidly and get users back to business faster."
This document discusses best practices for securing the wired access network. It begins by explaining why securing the LAN is important due to increased mobility and use of personal devices. It then reviews common methodologies for securing the LAN such as port security, MAC whitelisting, and 802.1X authentication. The document demonstrates how using device profiling and context from sources like EMM/MDM can improve enforcement options. It also addresses challenges like handling "headless" devices and preventing MAC spoofing.
The document discusses a new methodology for planning very high density 802.11ac wireless networks. It involves 5 steps: 1) Choosing the number of channels, 2) Choosing the unimpaired channel throughput, 3) Applying an impairment factor based on venue type, 4) Choosing a reuse factor of 1, 5) Calculating total system throughput separately for 2.4GHz and 5GHz bands. Key aspects are using 20MHz channels, all available DFS channels, and accounting for impairments from interference and client mix.
Webinar - Achieving ce 2.0 network integrity - a solid foundation to enable t...Veryx Technologies
This Webinar reveals:
- A new approach to optimize CE 2.0 service design
- Cutting-edge test methodologies for service activation
- Proactive means to detect and diagnose service degradation
- How to increase availability using automated diagnostics and assisted troubleshooting
- Innovative test solutions designed to follow the evolution from CE 2.0 to the ‘Third Network’ paradigm.
AirWave lets IT manage the application and device experience on several generations of multi-vendor networks. Join us in this session to learn more about how you can use AirWave to improve RF troubleshooting, inventory management, custom network management alerts and reports, wireless security compliance, real-time RF visualization and location tracking for your wireless LAN.
To learn more, visit us at http://www.arubanetworks.com/wlan. Join the discussion at https://community.arubanetworks.com
This presentation will show you how to right size customer networks, take advantage of ARM, Band steering and Client Match. Check out the webinar recording where this presentation was used. https://attendee.gotowebinar.com/recording/4688596131469180162
Register for the upcoming webinars: https://community.arubanetworks.com/t5/Training-Certification-Career/EMEA-Airheads-Webinars-Jul-Dec-2017/td-p/271908
Today, most mobile connectivity issues are quickly attributed to “bad Wi-Fi”. Very often it may not be a wireless or RF related issue at all. With Aruba Clarity, IT organisations now have visibility into non-RF metrics not only giving them end-to-end visibility into a wireless user experience, but also the ability to foresee connectivity issues before users are even impacted. Check out the webinar recording where this presentation was used. https://attendee.gotowebinar.com/register/224478872155652612
Register for the upcoming webinars: https://community.arubanetworks.com/t5/Training-Certification-Career/EMEA-Airheads-Webinars-Jul-Dec-2017/td-p/271908
During this webinar, we will cover AppRF - a suite of application visibility and control features that are part of Aruba's Policy Enforcement Firewall. AppRF is a PEF feature that is designed to give network administrators insight into the applications that are running on their network, and who is using them. Check out the webinar recording where this presentation was used:
https://community.arubanetworks.com/t5/Wireless-Access/Technical-Webinar-Aruba-AppRF-AOS-6-x-amp-8-x/td-p/490800
Remote Display Protocol Performance, Thin Client Computing, and IPQ: Test ReportIPeak Networks
IPQ reduces packet loss (>90% on avergae), reduces TCP retransmssisons (>87% on avergae), and improves user QoE in this test of thin client computing over the WAN.
This document discusses managed device deployment at branch offices using Aruba branch controllers. It provides an overview of how branch controllers connect to a master controller via an internet modem and establish communication. It also covers branch controller and VPN concentrator configuration in Aruba OS versions 6.x and 8.x, including initial setup, zero touch provisioning, and debugging tools. Additional topics include address pool management for VLANs, tunnels, NAT, and DHCP to allow for dynamic IP assignment at branch office deployments.
In this presentation, we will discuss the L3 Redundancy Requirement which primarily comes from customers who want to handle the complete Data Center Failure during natural disasters or other catastrophic events. Check out the webinar recording where this presentation was used: http://community.arubanetworks.com/t5/Wireless-Access/Technical-Webinar-Layer-3-Redundancy-for-Mobility-Master-ArubaOS/td-p/382029
Register for the upcoming webinars: https://community.arubanetworks.com/t5/Training-Certification-Career/EMEA-Airheads-Webinars-Jul-Dec-2017/td-p/271908
Aruba Central user may need a centralized web-server to host captive portal page for their distributed networks across the globe like coffee shops, restaurant or hotels. Aruba central 2.0 has a new feature called Cloud Guest or Guest Management that allows administrator to create a splash page for guest users using Web server and radius server running in the cloud.
Check out the webinar recording where this presentation was used:
https://community.arubanetworks.com/t5/Cloud-Managed-Networks/Airheads-Tech-Talks-Cloud-Guest-SSID-on-Aruba-Central/td-p/524320
In this presentation, we will cover ArubaOS’ AP Fast Failover feature, extended controller capacities, how to configure High Availability and several deployment models. Check out the webinar recording where this presentation was used: http://community.arubanetworks.com/t5/Wireless-Access/Technical-Webinar-Recording-Slides-ArubaOS-High-availability/td-p/286231
Register for the upcoming webinars: https://community.arubanetworks.com/t5/Training-Certification-Career/EMEA-Airheads-Webinars-Jul-Dec-2017/td-p/271908
This document provides release notes for ClearPass 6.3.6, including information about supported browsers and system requirements, upgrade and update instructions, new features, issues resolved, and known issues. Key details include supported ESX/ESXi versions for the virtual appliance, requirements for ClearPass OnGuard and the dissolvable agent, and lists of enhancements and issues addressed in previous 6.3.x releases.
This document is a curriculum vitae for VeerannaBabu I that outlines his professional experience and qualifications. He has 3 years of experience developing LabVIEW software and is a Certified LabVIEW Developer. Some of his project experience includes developing data acquisition systems, automated test jigs, and real-time control systems using NI hardware and LabVIEW for various defense organizations in India. He has expertise in communication protocols, NI hardware platforms, and software development best practices.
Preethi Nagarajan is a Senior Software Engineer at Cisco Systems with over 5 years of experience in manual and automation testing in networking, video cloud technology, and automotive domains. She has extensive experience in functional, performance, sanity, security, UAT, and regression testing. Her skills include Unix, Linux, Openstack Cloud, Selenium, scripting, and working with teams in an Agile environment.
In this presentation, we will be debugging Aruba RAP commands, run through troubleshooting and logs and tackle RAP clusters.
Check out the webinar recording where this presentation was used: http://community.arubanetworks.com/t5/Wireless-Access/Technical-Webinar-Recording-Slides-Aruba-Remote-Access-Point-RAP/td-p/310448
Sumanth S has over 9 years of experience in software development with specialization in C and C++. He has experience developing applications for Windows, Linux, HP-UX, VxWorks and other operating systems. Some of the key projects he has worked on include developing RIP modules for Ricoh printers, an infotainment system for Porsche, and software for medical devices from companies like Fluke Biomedical and Oridion. He is currently working as a Technical Leader at Wipro Technologies developing software for printers.
This document discusses data center fabric architectures and HPE's approach. It begins with defining the goals of a data center fabric and how graph theory can help design efficient topologies. It then covers common fabric designs like CLOS/fat tree and discusses their advantages. The document presents HPE's flexible approach using software-defined networking and outlines options for building fabrics with layer 2, layer 3, or overlays. It also covers capabilities like LAN/SAN convergence, data center interconnect, and network virtualization. Finally, it introduces HPE's Altoline/OpenSwitch platform as an open network operating system.
Ft McDowell Casino Partners with DW Spectrum IPVMS - Migration Case Studymegapixelnews
Ft McDowell Tribal Gaming Organization / Yavapai Nation partners with DW Spectrum IPVMS software platform from Digital Watchdog. A comprehensive system history and case study.
PathTrak™ Video Monitoring System for Cable TVAndrew Tram
The document describes PathTrak, a video monitoring system that monitors video quality all the way to the RF edge. It discusses how most providers only monitor the backbone or content origination points, missing issues at the edge. PathTrak uses probes like the VSA and RSAM5800 to monitor MPEG streams and RF signals at the edge. This helps identify issues quickly without relying on customer complaints, reducing trouble tickets and churn.
Embedded services by Faststream TechnologiesHari Narayana
Faststream Technologies Provides various Embedded Services and solutions. Faststream, a vanguard of technology solutions, specializing in Product & System Engineering, Digital Transformation, IOT, Big Data, Security, and Application Development with a global footprint across North America, EMEA and APAC.
"In a mobile-first environment, breadth and depth of visibility into users, clients, applications, RF and non-RF elements
that impact user experience are a must. Learn how AirWave delivers powerful network health assurance with advanced monitoring capabilities to eliminate
problems before they occur, and the intelligence to troubleshoot rapidly and get users back to business faster."
This document discusses best practices for securing the wired access network. It begins by explaining why securing the LAN is important due to increased mobility and use of personal devices. It then reviews common methodologies for securing the LAN such as port security, MAC whitelisting, and 802.1X authentication. The document demonstrates how using device profiling and context from sources like EMM/MDM can improve enforcement options. It also addresses challenges like handling "headless" devices and preventing MAC spoofing.
The document discusses a new methodology for planning very high density 802.11ac wireless networks. It involves 5 steps: 1) Choosing the number of channels, 2) Choosing the unimpaired channel throughput, 3) Applying an impairment factor based on venue type, 4) Choosing a reuse factor of 1, 5) Calculating total system throughput separately for 2.4GHz and 5GHz bands. Key aspects are using 20MHz channels, all available DFS channels, and accounting for impairments from interference and client mix.
Webinar - Achieving ce 2.0 network integrity - a solid foundation to enable t...Veryx Technologies
This Webinar reveals:
- A new approach to optimize CE 2.0 service design
- Cutting-edge test methodologies for service activation
- Proactive means to detect and diagnose service degradation
- How to increase availability using automated diagnostics and assisted troubleshooting
- Innovative test solutions designed to follow the evolution from CE 2.0 to the ‘Third Network’ paradigm.
AirWave lets IT manage the application and device experience on several generations of multi-vendor networks. Join us in this session to learn more about how you can use AirWave to improve RF troubleshooting, inventory management, custom network management alerts and reports, wireless security compliance, real-time RF visualization and location tracking for your wireless LAN.
To learn more, visit us at http://www.arubanetworks.com/wlan. Join the discussion at https://community.arubanetworks.com
This presentation will show you how to right size customer networks, take advantage of ARM, Band steering and Client Match. Check out the webinar recording where this presentation was used. https://attendee.gotowebinar.com/recording/4688596131469180162
Register for the upcoming webinars: https://community.arubanetworks.com/t5/Training-Certification-Career/EMEA-Airheads-Webinars-Jul-Dec-2017/td-p/271908
Today, most mobile connectivity issues are quickly attributed to “bad Wi-Fi”. Very often it may not be a wireless or RF related issue at all. With Aruba Clarity, IT organisations now have visibility into non-RF metrics not only giving them end-to-end visibility into a wireless user experience, but also the ability to foresee connectivity issues before users are even impacted. Check out the webinar recording where this presentation was used. https://attendee.gotowebinar.com/register/224478872155652612
Register for the upcoming webinars: https://community.arubanetworks.com/t5/Training-Certification-Career/EMEA-Airheads-Webinars-Jul-Dec-2017/td-p/271908
During this webinar, we will cover AppRF - a suite of application visibility and control features that are part of Aruba's Policy Enforcement Firewall. AppRF is a PEF feature that is designed to give network administrators insight into the applications that are running on their network, and who is using them. Check out the webinar recording where this presentation was used:
https://community.arubanetworks.com/t5/Wireless-Access/Technical-Webinar-Aruba-AppRF-AOS-6-x-amp-8-x/td-p/490800
Remote Display Protocol Performance, Thin Client Computing, and IPQ: Test ReportIPeak Networks
IPQ reduces packet loss (>90% on avergae), reduces TCP retransmssisons (>87% on avergae), and improves user QoE in this test of thin client computing over the WAN.
This document discusses managed device deployment at branch offices using Aruba branch controllers. It provides an overview of how branch controllers connect to a master controller via an internet modem and establish communication. It also covers branch controller and VPN concentrator configuration in Aruba OS versions 6.x and 8.x, including initial setup, zero touch provisioning, and debugging tools. Additional topics include address pool management for VLANs, tunnels, NAT, and DHCP to allow for dynamic IP assignment at branch office deployments.
In this presentation, we will discuss the L3 Redundancy Requirement which primarily comes from customers who want to handle the complete Data Center Failure during natural disasters or other catastrophic events. Check out the webinar recording where this presentation was used: http://community.arubanetworks.com/t5/Wireless-Access/Technical-Webinar-Layer-3-Redundancy-for-Mobility-Master-ArubaOS/td-p/382029
Register for the upcoming webinars: https://community.arubanetworks.com/t5/Training-Certification-Career/EMEA-Airheads-Webinars-Jul-Dec-2017/td-p/271908
Aruba Central user may need a centralized web-server to host captive portal page for their distributed networks across the globe like coffee shops, restaurant or hotels. Aruba central 2.0 has a new feature called Cloud Guest or Guest Management that allows administrator to create a splash page for guest users using Web server and radius server running in the cloud.
Check out the webinar recording where this presentation was used:
https://community.arubanetworks.com/t5/Cloud-Managed-Networks/Airheads-Tech-Talks-Cloud-Guest-SSID-on-Aruba-Central/td-p/524320
In this presentation, we will cover ArubaOS’ AP Fast Failover feature, extended controller capacities, how to configure High Availability and several deployment models. Check out the webinar recording where this presentation was used: http://community.arubanetworks.com/t5/Wireless-Access/Technical-Webinar-Recording-Slides-ArubaOS-High-availability/td-p/286231
Register for the upcoming webinars: https://community.arubanetworks.com/t5/Training-Certification-Career/EMEA-Airheads-Webinars-Jul-Dec-2017/td-p/271908
This document provides release notes for ClearPass 6.3.6, including information about supported browsers and system requirements, upgrade and update instructions, new features, issues resolved, and known issues. Key details include supported ESX/ESXi versions for the virtual appliance, requirements for ClearPass OnGuard and the dissolvable agent, and lists of enhancements and issues addressed in previous 6.3.x releases.
This document is a curriculum vitae for VeerannaBabu I that outlines his professional experience and qualifications. He has 3 years of experience developing LabVIEW software and is a Certified LabVIEW Developer. Some of his project experience includes developing data acquisition systems, automated test jigs, and real-time control systems using NI hardware and LabVIEW for various defense organizations in India. He has expertise in communication protocols, NI hardware platforms, and software development best practices.
Preethi Nagarajan is a Senior Software Engineer at Cisco Systems with over 5 years of experience in manual and automation testing in networking, video cloud technology, and automotive domains. She has extensive experience in functional, performance, sanity, security, UAT, and regression testing. Her skills include Unix, Linux, Openstack Cloud, Selenium, scripting, and working with teams in an Agile environment.
Ravi Raj is seeking a position as a design engineer. He has over 10 years of experience as a software engineer working on projects for automotive companies. His experience includes developing firmware for hybrid electric vehicle inverters and performing various testing. He is proficient in C, C++, and embedded systems. Ravi Raj holds a B.E. in electronics and communications engineering and has undergone training in embedded systems design.
Sasikumar is a software engineer with over 3 years of experience developing embedded systems and device drivers. He has expertise in C programming, real-time operating systems, Linux driver development, and protocols like I2C, UART and SPI. Some of his projects include developing DVB-CI and CI+ stacks to enable decryption of encrypted content. He also has experience with conditional access systems from Nagravision, Cryptoguard and implementing a Simulcrypt head-end system. Sasikumar is looking for new opportunities to further his knowledge and serve a company to the best of his abilities.
Yogesh K. Bansari is seeking a position that allows him to further develop his skills in automation and instrumentation technologies. He has 1.5 years of experience as a junior project engineer working on industrial automation projects for Siemens systems. His responsibilities have included project engineering, software development, commissioning, and providing customer support. Notable projects he has worked on include fume extraction systems, evaporators, oven systems, spray dryers, and wastewater treatment plants. He is proficient with Siemens PLCs, SCADA, HMIs, networks like Profinet and Industrial Ethernet, and protocols like Modbus. He holds a bachelor's degree in instrumentation and control engineering.
Basavanthrao has over 10 years of experience in VLSI front-end design and functional verification using languages like VHDL, Verilog, SystemVerilog, C++ and e. He has worked on projects involving SOC design, network-on-chip, formal verification and more. Currently he is a Lead Engineer at Sevitech Systems where he is responsible for verification of IPs/SOCs. He holds a B.E. in Electronics and Communication Engineering.
Varun Dave is seeking a challenging career in semiconductor design and verification. He has experience as an ASIC design and verification intern at Maven Silicon, where he wrote verification plans, developed testbenches using UVM, and verified IPs. He has a B.E. in Electronics and Telecommunications and skills in Verilog, SystemVerilog, UVM methodology, and EDA tools like Xilinx ISE and Questasim. He has worked on projects including designing an AXI VIP and verifying an SPI core.
This document provides a summary of Vinay Kumar's professional experience and qualifications. He has over 3 years of experience in IP and SoC level verification using SystemVerilog, Verilog, and VHDL. Some of his skills and experience include UVM methodology, protocols like UART, I2C, AMBA, and Ethernet, debugging RTL and test benches, and developing verification environments. He has worked on projects involving an Ethernet load balancer FPGA, an AMBA AHB verification IP, and a NAND flash controller. Vinay holds a BE in electronics from SLN College of Engineering and a post-graduate diploma in VLSI from C-DAC.
This summary provides an overview of Kingslin Joseph's professional experience and qualifications:
- 10+ years of experience in testing embedded systems, fire alarm systems, wireless devices, applications and protocols using various automation and management tools.
- Current role is a senior testing professional at Honeywell Technologies focusing on requirements analysis, test planning, execution and maintenance across multiple product lines.
- Prior experience includes roles at Johnson Controls, Honeywell Automation and Sauter Race Technologies focusing on installation, commissioning and troubleshooting of HVAC and building management systems.
- Educational background includes a BE in Instrumentation Engineering and technical certifications in Six Sigma, Agile Scrum and fire safety systems.
Ramaprasad is seeking a job as an ASIC verification engineer with over 3 years of experience in RTL verification. He has experience verifying IP blocks using SystemVerilog and UVM, including projects involving AOP SoC, MIPI-MPHY, CAN, SPI, AXI4, MIPI DSI, and router verification. He is proficient in SystemVerilog, UVM, QuestaSim, and has experience developing testbenches, writing directed and randomized tests, and achieving coverage closure. He holds a BE in electronics and communication engineering.
DvClub 2102 tlm based software control of uvcs for vertical verification re...Amit Bhandu
In order to provide full controllability to the C test developer over the verification components, a virtual layer can be created using the capabilities of TLM 2.0 layer in both SystemC and UVM.
This Virtual layer exposes the sequences of the UVC into SystemC TLM2.0 which enables the embedded software engineers to configure and control the Verification IPs from embedded software and generate the same advanced stimulation or exhaustive coverage as provided by UVCs.
A TLM Vertical Verification ReUse Methodology that enables reuse of the IP verification environment and test cases to SOC verif/valid environment.
Pankaj Pal provides a summary of his experience and qualifications for a middle management role in automation and instrumentation. He has over 5 years of experience in project engineering, design, software development, commissioning, and technical support of automation systems. He is proficient in PLC programming, SCADA application development, loop testing, commissioning, and network configuration. He has worked on numerous automation projects in industries such as oil and gas extraction, water treatment, power generation, and grain storage.
Raj Kumar is an embedded systems professional with 12 years of experience developing microprocessor and microcontroller-based products using C, assembly language, and electronics circuit design. He has expertise in areas such as wireless technologies, communication protocols, Linux, Windows, and various microcontrollers including ARM, AVR, and MSP430. Raj seeks a position where he can establish himself through his work and help organizations succeed and grow.
The document provides an overview of the ASIC design and verification process. It discusses the key stages of ASIC design including specification, high-level design, micro design, RTL coding, simulation, synthesis, place and route, and post-silicon validation. It then describes the importance of verification, including why 70% of design time and costs are spent on verification. The verification process uses testbenches, directed and constrained-random testing, and functional coverage to verify the design matches specifications. Verification of more complex designs like FPGAs, SOCs is also discussed.
KMPS provides automation and control system capabilities including design, implementation, testing and support. They have expertise in common control system platforms such as DeltaV, Rockwell, Siemens and Wonderware. KMPS works closely with customers throughout a project from design through programming, testing, startup and training. Rigorous factory and site acceptance testing is performed to ensure systems meet requirements.
Koch Modular Process Systems, LLC (Koch Modular) provides process control system and automation solutions for standalone turnkey applications or integration within a customer's existing PLC, DCS, or SCADA infrastructure. Koch Modular engineers work directly with the customer to design a custom solution specific to meet their plant, industry, standards, and needs. Koch Modular can also assist in modification of existing control systems to upgrade or integrate enhanced functionality.
Functional verification is one of the key bottlenecks in the rapid design of integrated circuits. It is estimated that verification in its entirety accounts for up to 60% of design resources, including duration, computer resources and total personnel. The three primary tools used in logic and functional verification of commercial integrated circuits are simulation (at various levels), emulation at the chip level, and formal verification.
Atif Farooq Bhatti has over 15 years of experience as a test engineer developing automated testing solutions for RF products. He has a Master's degree in Electronics and is proficient in languages like C/C++, LabVIEW, and Visual Basic. He has worked on testing projects for water meters, network devices, and UPS systems. His skills include requirements documentation, test automation, data analysis, and reducing production costs.
Karthik Babu is a systems engineer with over 9 years of experience in areas like board design, validation, debugging issues, and factory support. He has extensive experience in the full product development cycle from component selection to testing. Some of his areas of expertise include automatic test equipment design, integration, and testing as well as cPCI and customized board design. He has successfully debugged and resolved complex design and process issues.
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
Identified huge error count and US$1.7M excess expense in product engineering and product development; Spearheaded from scratch product roadmap and end-to-end engineering and deployment of a custom novel software for automatic creation of error-free verification infrastructure for a customizable Network-interconnect, across 6 global teams, saved 70+ man hours per integration and testing cycle and reduced time-to-first-test by 60%, resulting in an estimated annual savings of US$4.5M in purchased product licenses and 100% reduction in error-count in engineering process. Enabled a 4-member cross-cultural global team in Seoul for 6+ months for E2E-auto-testbench product during its’ adoption, prototype testing, and life cycle. Conducted 120+ user interviews, market analysis, customer research to define key product requirements for new features resulting in 100% user adoption, 80% increase in user satisfaction. Received appreciation award from VP of Engineering, Samsung Memory Solutions.
Disclaimer: - The slides presented here are a minimised version of the actual detailed content/implementation/publication presented to the stakeholders.
If the originals are needed, they will be provided based on mutual agreement.
(All Rights Reserved)
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
VAMSHIKRISHNA_BOOSAM_NOV16(1)
1. Vamshi Krishna Boosam,
Mobile:+919885553862
Email:Vamshikrishna.boosam@gmail.com
Objective
Consistently analyze and improve technical capabilities related to the field of
VLSI Technology there by increasing the efficiency and competitive with the fast
growing Technologies.
Summary of Skills
• Qualified ASIC Engineer with 4+ years of Experience in ASIC IP VERIFICATION, ASIC
DESIGN, and SOC LEVEL VERIFICATION with Excellent DEBUGGING Skills.
• Leveraged expertise in VERIFICATION IP DEVELOPMENT using SYSTEM VERILOG and
methodologies like UVM.
• Extensive experience in SOC LEVEL VERIFICATION using C BENCHES.
• Extensive experience in Validation (Dhanush-Advance, Dhanush-micro).
• Extensive Working Knowledge in Protocols like DFI, DDR3, LPDDR2, Policy Manager,
AHB, APB,MIPI-DPHY.
• High learning curve with the ability to embrace new protocols and technologies
with ease and to seamlessly integrate skill set into the project implementation
lifecycle.
• Good team player with excellent technical and interpersonal skills.
Education Qualifications
• B.Tech in Electronics and Communication Engineering, June 2010 with 70% from JNTU
University Hyderabad.
• Diploma in VLSI logic Design from VEDAIIT Hyderabad.
Work Experience:
• Currently Working for Inevecas as SR ASIC ENGINEER (contractor from Soctronics
Technologies) from Dec 2014 to till date.
• Currently Working for Ineda Systems as ASIC ENGINEER (contractor from Soctronics
Technologies) from October 2013 to Dec 2014.
• Worked for Soctronics Technologies as ASIC Engineer from November 2011 to October
2013.
Technical Expertise
Languages : System Verilog, C.
Verification Methodologies : UVM.
Scripting Languages : Perl, C-shell.
Simulators : Cadence IUS.
Protocols : AHB,APB,DFI-3.0,LPDDR2,DDR3,Fuse,
Policy Manager, Clocks, RTC,MIPI-DPHY.
.
2. Vamshi Krishna Boosam,
Mobile:+919885553862
Email:Vamshikrishna.boosam@gmail.com
• Project : MIPI-DPHY(JAN2015-Present)
Client : Invecas
Company : Soctronics pvt ltd
Team size : 3
Role : IP Verification
Description :
The MIPI-DPHY is a IP protocol, It complaints version 2.0 high speed serial
Interface.
Responsibilities :
• Developed bench for Tx-DPHY verification by using System verilog.
• Verified with DSI Mentor QVIP.
• Script for Automation.
• Documentation for verification environment.
• Automated bench for multi lane configuration.
• Verification for MIPI-CORE.
• Compatible bench development for three compilers(cadence,Questa,VCS)
• Project : Dhanush WPU SOC B0(Feb 2014 – Dec 2014)
Client : Ineda Systems
Company : Soctronics pvt ltd
Team size : 15
3. Vamshi Krishna Boosam,
Mobile:+919885553862
Email:Vamshikrishna.boosam@gmail.com
Role : IP Design, IP Verification, SOC
Verification.
Description :
The Dhanush WPU is an industry-first Wearable SOC that addresses all the
needs of the wearable device market. It features Hierarchical computing that
allows applications ad tasks to run at the right power optimized performance and
memory footprint and has an always-on sensor hub optimized for wearable devices.
Responsibilities :
• Dynamic Clock Gating Module Design
Dynamic clock gating module design, which is used for clock gating for
slaves at idle time.
• ONOFF SUB ISLAND INTEGRATION
ONOFF SUB island integration, which is one of the island in Dhanush.
Linting for onoff sub subsystem.
• FUSE CONTROLLER VERIFICATION
Developed the IP LEVEL BENCH for FUSE CONTROLLER using UVM.
Coded Test cases to verify the functionality of FUSE CONROLLER in
various Aspects by using AHB Mentor VIP.
Setup the COVERAGE MODEL to check CODE COVERAGE and FUNCTIONAL COVERAGE.
Setup the MONITOR and SCOREBOARD to check the data integrity Between the
RTL and Bench.
Checkers to check GF28SLP FUSE Control signal Timings.
Developed a script for automation.
Documented the TEST PLAN and VERIFICATION PLAN.
Integrated in SoC level Bench.
• SENSOR CLOCKS SOC LEVEL VERIFICATION
Code the SOC level Test Cases in C to Verify the SENSOR Clocks
functionally at SOC Level for Various Frequencies.
Setup the System Verilog Bench to Support the C Test Cases.
Checkers for All the clocks available in Sensor Subsystem to check
required frequencies are getting or not based on Programming.
Documented the Test Plan.
4. Vamshi Krishna Boosam,
Mobile:+919885553862
Email:Vamshikrishna.boosam@gmail.com
• DHANUSH SOC CLOCKS SOC LEVEL VERIFICATION
Code the SOC level Test Cases in C to Verify the DHANUSH SOC Clocks
functionally at SOC Level for Various Frequencies.
Setup the System Verilog Bench to Support the C Test Cases.
Checkers for All the clocks available in all Subsystem to check required
frequencies are getting or not based on Programming.
Document the Test Plan.
• RTC SOC LEVEL VERIFICATION
Code the SOC level Test Cases in C to Verify the RTC functionally at SOC
Level for Various Modes.
Document the Test Plan.
• POLICY MANAGER SOC LEVEL VERIFICATION
Code the SOC level Test Cases in C to Verify the POLICY MANAGER
functionally at SOC Level for Various Combinations.
Policy manager is Controlled based on following IP’s
RTC,TIMER,UART,I2C,ADC,GPIO.
Policy manger is heart of dhanush, which used for the ultra low power.
Based on RTC,TIMER,UART,I2C,ADC,GPIO sensor interrupts it will wake the
required Island followed with SENSOR ONOFF Island.
Policy manager Control whole dhanush by making power/Clock disable to
idle Islands.
Setup the System Verilog Bench to Support the C Test Cases.
Checkers for All the tile resets, clocks in Sensor ONOFF,ONOFF SUB,BT-
BLE and TCM Subsystems to check Clocks are gating based on Policy
Control.
Low Power RTL Verification for policy manager by inserting CPF.
Document the Test Plan, Programming guide lines in Technical Reference
manual.
5. Vamshi Krishna Boosam,
Mobile:+919885553862
Email:Vamshikrishna.boosam@gmail.com
• BOOT ROM SOC LEVEL VERIFICATION
Code the SOC level Test Cases in C to Verify the BOOT functionally at
SOC Level for Various Combinations of booting.
Document the Test Plan.
• LOW POWER GATE LEVEL SIMULATION
Developed a Gate level simulation setup.
Verified all test scenarios of dhanush in Low power mode.
Code C test cases for Low Power gate level simulation.
Expert in Debugging Low power gate level simulation.
• VALIDATION
Pre silicon validation for policy manager.
Post silicon validation for policy manager, Low Power.
• DOCUMENTATION
DHANUSH INFRA Document for SOC level verification environment.
Project : Dhanush WPU MICRO-B0 SOC(APR 2014 –AUG 2014)
Client : Ineda Systems
Company : Ineda Systems
Team size : 4
6. Vamshi Krishna Boosam,
Mobile:+919885553862
Email:Vamshikrishna.boosam@gmail.com
Role : SOC Verification
Description :
The Dhanush WPU is an industry-first Wearable SOC that addresses all the
needs of the wearable device market. It features Hierarchical computing that
allows applications and tasks to run at the right power optimized performance and
memory footprint and has an always-on sensor hub optimized for wearable devices.
• POLICY MANAGER SOC LEVEL VERIFICATION
Code the SOC level Test Cases in C to Verify the POLICY MANAGER
functionally at SOC Level for Various Combinations.
Verified latest changes and upgrades from the previous Dhanush WPU MICRO
Policy manager is Controlled based on following IP’s
RTC,TIMER,UART,I2C,ADC,GPIO.
Policy manager Control whole dhanush by making power/Clock disable to
idle Islands.
Low Power RTL Verification for policy manager by inserting CPF.
Low Power Gate level simulation for Dhanush WPU MICRO-B0 SOC.
• VALIDATION
Pre silicon validation for policy manager.
Post silicon validation for policy manager, Low Power.
Project : Dhanush WPU MICRO SOC(OCT 2013 –AUG 2014)
Client : Ineda Systems
Company : Ineda Systems
Team size : 4
Role : SOC Verification
Description :
The Dhanush WPU is an industry-first Wearable SOC that addresses all the
needs of the wearable device market. It features Hierarchical computing that
allows applications and tasks to run at the right power optimized performance and
memory footprint and has an always-on sensor hub optimized for wearable devices.
• IP’s verified in Soc level RTC,CLOCKS,SRAM.
• Fire-walk verification for dhanush-micro soc.
7. Vamshi Krishna Boosam,
Mobile:+919885553862
Email:Vamshikrishna.boosam@gmail.com
• POLICY MANAGER SOC LEVEL VERIFICATION
Code the SOC level Test Cases in C to Verify the POLICY MANAGER
functionally at SOC Level for Various Combinations.
Verified latest changes and upgrades from the previous Dhanush WPU MICRO
Policy manager is Controlled based on following IP’s
RTC,TIMER,UART,I2C,ADC,GPIO.
Policy manager Control whole dhanush by making power/Clock disable to
idle Islands.
Low Power RTL Verification for policy manager by inserting CPF.
Low Power Gate level simulation for Dhanush WPU MICRO SOC.
• VALIDATION
Pre silicon validation for policy manager.
Post silicon validation for policy manager, Low Power.
Project : DDR-PHY
Client : -
Company : Soctronics Technologies pvt ltd
Team size : 4
Role : IP Verification
Description :
Developed Models for MC,PHY,LPDDR2,DDR3 by using pure System Verilog.
In This we mainly focus on PHY, Here we developed to check the stand alone
functionality of PHY with Micron model.
• Developed MC model in System verilog to generate different scenarios on to
the DDR through PHY.
• Developed PHY model in System Verilog to send Information on to the DDR.
• Integrated MC-PHY-DDR.
• Assertions to check some of functionality of DFI.
• Monitor and scoreboard to check data from the MC to DDR are Correct.
• Integrated this environment in CRUX to check with JDEC.
• Developed monitor for to collect data from DDR.
• Developed Test case to generate random functionality on MC model.
8. Vamshi Krishna Boosam,
Mobile:+919885553862
Email:Vamshikrishna.boosam@gmail.com
• Verified all functionality of LPDDR2,DDR3.
• Coverage to MC DFI Interface.
• Perl Script for automation which do test case simulation generate report.
Project : ARIES
Client : -
Company : Soctronics Technologies pvt ltd
Team size : 4
Role : IP Verification
Description
ARIES is in-house project to place instead of licensed verification IP’s.
• SPI :
Developed driver as part of spi-microwave type, sequences, register
sequences and test cases By using System verilog-UVM.
Verified all the functionalities of spi.
Coverage for SPI.
Script to generate Test-cases based on sequence given as option to
this and it execute simulation command then it generate results of
that test case.
Script to generate Sequence register library file to that IP.
• AHB :
Developed Monitor AHB using System verilog-UVM it can handle 16 AHB
masters and 16 slaves.
Developed Scoreboard to Check functionalities.
Verified all the test scenarios on this monitor.
• APB :
Developed model using UVM.
To check functionalities of 1kb Register.
Profile Summary
9. Vamshi Krishna Boosam,
Mobile:+919885553862
Email:Vamshikrishna.boosam@gmail.com
I have experience on the Verification related to IP's like MIPI-DPHY,AHB, APB, LPDDR2, DFI,
I2C, Clocks, and experience on SOC verification like clocks, firewalk, rtc, sram, policy manager ,low power
gate simulation. Perl Scripting for automation. I have experience on debugging of SOC and IP level.
Other Information:
• I am conversant with English, Hindi, and Telugu
• My hobbies include Cooking, playing chess.
PERSONAL DETAILS:
• Father’s Name : Anjaneyulu Boosam
• DoB : 23-11-1989
• Present Address : Flat No.G2,Sai ganesh towers, puppalaguda, manikonda,
Hyderabad
• Permanent Address : 5-26,Madhapur,Twn:Nirmal,Dst:Adilabad – 504105
Declaration:
All the details furnished above are true to the best of my knowledge and belief.
Vamshi Krishna B.
10. Vamshi Krishna Boosam,
Mobile:+919885553862
Email:Vamshikrishna.boosam@gmail.com
I have experience on the Verification related to IP's like MIPI-DPHY,AHB, APB, LPDDR2, DFI,
I2C, Clocks, and experience on SOC verification like clocks, firewalk, rtc, sram, policy manager ,low power
gate simulation. Perl Scripting for automation. I have experience on debugging of SOC and IP level.
Other Information:
• I am conversant with English, Hindi, and Telugu
• My hobbies include Cooking, playing chess.
PERSONAL DETAILS:
• Father’s Name : Anjaneyulu Boosam
• DoB : 23-11-1989
• Present Address : Flat No.G2,Sai ganesh towers, puppalaguda, manikonda,
Hyderabad
• Permanent Address : 5-26,Madhapur,Twn:Nirmal,Dst:Adilabad – 504105
Declaration:
All the details furnished above are true to the best of my knowledge and belief.
Vamshi Krishna B.