SlideShare a Scribd company logo
Consume. Collaborate. Contribute.Consume. Collaborate. Contribute.
Power & Heat
ODSA Project Workshop
March 28, 2019
Ali Husain, ON Semiconductor
Consume. Collaborate. Contribute.
Topics
POC 1.0
• Power Distribution
• Power Conversion
• Thermal Budgeting
• Differential thermal expansion
(reliability)
FUTURE
• Active Interposer
• Integrate Passive Components
• PMIC Chiplets
Consume. Collaborate. Contribute.
Power Architecture
For Intel Cores, high power
input (>100A) at 1.8V
On-chip regulator converts from
1.8V → 0.9V (unregulated)
Digital & Analog Domains?
1.2/3.3V
1.8V
1.8V
0.9V
Consume. Collaborate. Contribute.
Power Architecture – Future?
• 3.3 or 5V input – local PMIC/chiplet
⎻ Use less power pins
⎻ Separate analog & digital domians
⎻ Need pins for passives
⎻ Conversion losses need to be
dissipated
• Active interposer
⎻ Technology
⎻ Heat Dissipation
⎻ Ground planes
⎻ Passives
5V
0.9V
1.8V1.2V
PMIC
0.9V
LDO 0.8V
Consume. Collaborate. Contribute.
Heat
• Thermal Budgeting
• Temperature Sensors
• Chiplet performance vs. temperature
• Local Heating Effects
→ Power/Thermal Management Controller
Consume. Collaborate. Contribute.
Chiplet Necessary Specifications
• Input Voltage
• Max & Typical Supply Current (workload vs. current)
• Rth to interposer, case
• Power limiting functionality, speed
• PMBus or SVID interface?
Consume. Collaborate. Contribute.

More Related Content

Similar to ODSA - Power and Heat

final thesis presentation.pptx
final thesis presentation.pptxfinal thesis presentation.pptx
final thesis presentation.pptx
sandhya360104
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
SUNODH GARLAPATI
 
TechShanghai2016 - Wireless Power – Ready for Prime Time
TechShanghai2016 - Wireless Power – Ready for Prime TimeTechShanghai2016 - Wireless Power – Ready for Prime Time
TechShanghai2016 - Wireless Power – Ready for Prime Time
Hardway Hou
 
Ecofriendly solutions at work - SMB Datacenters
Ecofriendly solutions at work - SMB DatacentersEcofriendly solutions at work - SMB Datacenters
Ecofriendly solutions at work - SMB Datacenters
IDG Romania
 
Low power
Low powerLow power
Low power
preeti banra
 
Aged Data Center Infrastructure.pptx
Aged Data Center Infrastructure.pptxAged Data Center Infrastructure.pptx
Aged Data Center Infrastructure.pptx
Schneider Electric
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
Anil Yadav
 
8051 interfacing
8051 interfacing8051 interfacing
8051 interfacing
KanchanPatil34
 
8051interfacing 190425062221
8051interfacing 1904250622218051interfacing 190425062221
8051interfacing 190425062221
Indranil Hatai
 
Scaling Green Instrumentation to more than 10 Million Cores
Scaling Green Instrumentation to more than 10 Million CoresScaling Green Instrumentation to more than 10 Million Cores
Scaling Green Instrumentation to more than 10 Million Cores
inside-BigData.com
 
Embedded system
Embedded systemEmbedded system
Embedded system
Nitish kumar
 
IRJET- Intelligent Power Emulator
IRJET-  	  Intelligent Power EmulatorIRJET-  	  Intelligent Power Emulator
IRJET- Intelligent Power Emulator
IRJET Journal
 
IRJET- Intelligent Power Emulator
IRJET- Intelligent Power EmulatorIRJET- Intelligent Power Emulator
IRJET- Intelligent Power Emulator
IRJET Journal
 
Siemon Carrie Higbie
Siemon Carrie HigbieSiemon Carrie Higbie
Siemon Carrie Higbie
wlambert_2001
 
CLOCKLESS CHIP BY Saurabh singh
CLOCKLESS CHIP BY Saurabh singhCLOCKLESS CHIP BY Saurabh singh
CLOCKLESS CHIP BY Saurabh singh
Saurabh Singh
 
Design of a 45nm TIQ Comparator for High Speed and Low Power 4-Bit Flash ADC
Design of a 45nm TIQ Comparator for High Speed and Low Power 4-Bit Flash ADCDesign of a 45nm TIQ Comparator for High Speed and Low Power 4-Bit Flash ADC
Design of a 45nm TIQ Comparator for High Speed and Low Power 4-Bit Flash ADC
IDES Editor
 
Final Year Report (6bits DACs)
Final Year Report (6bits DACs)Final Year Report (6bits DACs)
Final Year Report (6bits DACs)
Siang Wei Lee
 
1.1 integrating renewables srm
1.1 integrating renewables   srm1.1 integrating renewables   srm
1.1 integrating renewables srm
Sustainable Resources Management
 
Major project presentation
Major project presentationMajor project presentation
Major project presentation
Gaurav Everyones
 
2013-03-11-seminario-artecs-mzapater
2013-03-11-seminario-artecs-mzapater2013-03-11-seminario-artecs-mzapater
2013-03-11-seminario-artecs-mzapater
greendisc
 

Similar to ODSA - Power and Heat (20)

final thesis presentation.pptx
final thesis presentation.pptxfinal thesis presentation.pptx
final thesis presentation.pptx
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
 
TechShanghai2016 - Wireless Power – Ready for Prime Time
TechShanghai2016 - Wireless Power – Ready for Prime TimeTechShanghai2016 - Wireless Power – Ready for Prime Time
TechShanghai2016 - Wireless Power – Ready for Prime Time
 
Ecofriendly solutions at work - SMB Datacenters
Ecofriendly solutions at work - SMB DatacentersEcofriendly solutions at work - SMB Datacenters
Ecofriendly solutions at work - SMB Datacenters
 
Low power
Low powerLow power
Low power
 
Aged Data Center Infrastructure.pptx
Aged Data Center Infrastructure.pptxAged Data Center Infrastructure.pptx
Aged Data Center Infrastructure.pptx
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
8051 interfacing
8051 interfacing8051 interfacing
8051 interfacing
 
8051interfacing 190425062221
8051interfacing 1904250622218051interfacing 190425062221
8051interfacing 190425062221
 
Scaling Green Instrumentation to more than 10 Million Cores
Scaling Green Instrumentation to more than 10 Million CoresScaling Green Instrumentation to more than 10 Million Cores
Scaling Green Instrumentation to more than 10 Million Cores
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
IRJET- Intelligent Power Emulator
IRJET-  	  Intelligent Power EmulatorIRJET-  	  Intelligent Power Emulator
IRJET- Intelligent Power Emulator
 
IRJET- Intelligent Power Emulator
IRJET- Intelligent Power EmulatorIRJET- Intelligent Power Emulator
IRJET- Intelligent Power Emulator
 
Siemon Carrie Higbie
Siemon Carrie HigbieSiemon Carrie Higbie
Siemon Carrie Higbie
 
CLOCKLESS CHIP BY Saurabh singh
CLOCKLESS CHIP BY Saurabh singhCLOCKLESS CHIP BY Saurabh singh
CLOCKLESS CHIP BY Saurabh singh
 
Design of a 45nm TIQ Comparator for High Speed and Low Power 4-Bit Flash ADC
Design of a 45nm TIQ Comparator for High Speed and Low Power 4-Bit Flash ADCDesign of a 45nm TIQ Comparator for High Speed and Low Power 4-Bit Flash ADC
Design of a 45nm TIQ Comparator for High Speed and Low Power 4-Bit Flash ADC
 
Final Year Report (6bits DACs)
Final Year Report (6bits DACs)Final Year Report (6bits DACs)
Final Year Report (6bits DACs)
 
1.1 integrating renewables srm
1.1 integrating renewables   srm1.1 integrating renewables   srm
1.1 integrating renewables srm
 
Major project presentation
Major project presentationMajor project presentation
Major project presentation
 
2013-03-11-seminario-artecs-mzapater
2013-03-11-seminario-artecs-mzapater2013-03-11-seminario-artecs-mzapater
2013-03-11-seminario-artecs-mzapater
 

More from ODSA Workgroup

ODSA - Business Workstream
ODSA - Business WorkstreamODSA - Business Workstream
ODSA - Business Workstream
ODSA Workgroup
 
ODSA - PoC Planning & Call for Help
ODSA - PoC Planning & Call for HelpODSA - PoC Planning & Call for Help
ODSA - PoC Planning & Call for Help
ODSA Workgroup
 
ODSA - DirectConnect Options for the PoC
ODSA - DirectConnect Options for the PoCODSA - DirectConnect Options for the PoC
ODSA - DirectConnect Options for the PoC
ODSA Workgroup
 
ODSA - PoC Physical Design Elements
ODSA - PoC Physical Design ElementsODSA - PoC Physical Design Elements
ODSA - PoC Physical Design Elements
ODSA Workgroup
 
ODSA - NXP PoC Presentation
ODSA - NXP PoC PresentationODSA - NXP PoC Presentation
ODSA - NXP PoC Presentation
ODSA Workgroup
 
ODSA - PoC Requirements and Use Cases
ODSA - PoC Requirements and Use CasesODSA - PoC Requirements and Use Cases
ODSA - PoC Requirements and Use Cases
ODSA Workgroup
 
ODSA - Speedster22i FPGA for POC
ODSA - Speedster22i FPGA for POCODSA - Speedster22i FPGA for POC
ODSA - Speedster22i FPGA for POC
ODSA Workgroup
 
ODSA Design Tools for Chiplet-Based Design
ODSA Design Tools for Chiplet-Based DesignODSA Design Tools for Chiplet-Based Design
ODSA Design Tools for Chiplet-Based Design
ODSA Workgroup
 
ODSA - PIPE Adapters
ODSA - PIPE Adapters ODSA - PIPE Adapters
ODSA - PIPE Adapters
ODSA Workgroup
 
ODSA - PHY Layer
ODSA - PHY LayerODSA - PHY Layer
ODSA - PHY Layer
ODSA Workgroup
 
OODSA - BoW: Basic, Fast, Turbo Die-to Die Open Interface Solutions
OODSA - BoW: Basic, Fast, Turbo Die-to Die Open Interface SolutionsOODSA - BoW: Basic, Fast, Turbo Die-to Die Open Interface Solutions
OODSA - BoW: Basic, Fast, Turbo Die-to Die Open Interface Solutions
ODSA Workgroup
 
ODSA - Samsung Welcomes OCP's ODSA
ODSA - Samsung Welcomes OCP's ODSAODSA - Samsung Welcomes OCP's ODSA
ODSA - Samsung Welcomes OCP's ODSA
ODSA Workgroup
 
ODSA - Technical Introduction
ODSA - Technical IntroductionODSA - Technical Introduction
ODSA - Technical Introduction
ODSA Workgroup
 
ODSA - OCP Welcome
ODSA - OCP WelcomeODSA - OCP Welcome
ODSA - OCP Welcome
ODSA Workgroup
 
ODSA - OCP Accelerator Module and the Infrastructure
ODSA - OCP Accelerator Module and the InfrastructureODSA - OCP Accelerator Module and the Infrastructure
ODSA - OCP Accelerator Module and the Infrastructure
ODSA Workgroup
 
ODSA Sub-Project Launch
ODSA Sub-Project LaunchODSA Sub-Project Launch
ODSA Sub-Project Launch
ODSA Workgroup
 
ODSA Workshop: Development Effort Summary
ODSA Workshop: Development Effort SummaryODSA Workshop: Development Effort Summary
ODSA Workshop: Development Effort Summary
ODSA Workgroup
 
Design and Testing Challenges for Chiplet Based Design: Assembly and Test View
Design and Testing Challenges for Chiplet Based Design: Assembly and Test ViewDesign and Testing Challenges for Chiplet Based Design: Assembly and Test View
Design and Testing Challenges for Chiplet Based Design: Assembly and Test View
ODSA Workgroup
 
ODSA Proof of Concept SmartNIC Speeds & Feeds
ODSA Proof of Concept SmartNIC Speeds & FeedsODSA Proof of Concept SmartNIC Speeds & Feeds
ODSA Proof of Concept SmartNIC Speeds & Feeds
ODSA Workgroup
 
ODSA Use Case - SmartNIC
ODSA Use Case - SmartNICODSA Use Case - SmartNIC
ODSA Use Case - SmartNIC
ODSA Workgroup
 

More from ODSA Workgroup (20)

ODSA - Business Workstream
ODSA - Business WorkstreamODSA - Business Workstream
ODSA - Business Workstream
 
ODSA - PoC Planning & Call for Help
ODSA - PoC Planning & Call for HelpODSA - PoC Planning & Call for Help
ODSA - PoC Planning & Call for Help
 
ODSA - DirectConnect Options for the PoC
ODSA - DirectConnect Options for the PoCODSA - DirectConnect Options for the PoC
ODSA - DirectConnect Options for the PoC
 
ODSA - PoC Physical Design Elements
ODSA - PoC Physical Design ElementsODSA - PoC Physical Design Elements
ODSA - PoC Physical Design Elements
 
ODSA - NXP PoC Presentation
ODSA - NXP PoC PresentationODSA - NXP PoC Presentation
ODSA - NXP PoC Presentation
 
ODSA - PoC Requirements and Use Cases
ODSA - PoC Requirements and Use CasesODSA - PoC Requirements and Use Cases
ODSA - PoC Requirements and Use Cases
 
ODSA - Speedster22i FPGA for POC
ODSA - Speedster22i FPGA for POCODSA - Speedster22i FPGA for POC
ODSA - Speedster22i FPGA for POC
 
ODSA Design Tools for Chiplet-Based Design
ODSA Design Tools for Chiplet-Based DesignODSA Design Tools for Chiplet-Based Design
ODSA Design Tools for Chiplet-Based Design
 
ODSA - PIPE Adapters
ODSA - PIPE Adapters ODSA - PIPE Adapters
ODSA - PIPE Adapters
 
ODSA - PHY Layer
ODSA - PHY LayerODSA - PHY Layer
ODSA - PHY Layer
 
OODSA - BoW: Basic, Fast, Turbo Die-to Die Open Interface Solutions
OODSA - BoW: Basic, Fast, Turbo Die-to Die Open Interface SolutionsOODSA - BoW: Basic, Fast, Turbo Die-to Die Open Interface Solutions
OODSA - BoW: Basic, Fast, Turbo Die-to Die Open Interface Solutions
 
ODSA - Samsung Welcomes OCP's ODSA
ODSA - Samsung Welcomes OCP's ODSAODSA - Samsung Welcomes OCP's ODSA
ODSA - Samsung Welcomes OCP's ODSA
 
ODSA - Technical Introduction
ODSA - Technical IntroductionODSA - Technical Introduction
ODSA - Technical Introduction
 
ODSA - OCP Welcome
ODSA - OCP WelcomeODSA - OCP Welcome
ODSA - OCP Welcome
 
ODSA - OCP Accelerator Module and the Infrastructure
ODSA - OCP Accelerator Module and the InfrastructureODSA - OCP Accelerator Module and the Infrastructure
ODSA - OCP Accelerator Module and the Infrastructure
 
ODSA Sub-Project Launch
ODSA Sub-Project LaunchODSA Sub-Project Launch
ODSA Sub-Project Launch
 
ODSA Workshop: Development Effort Summary
ODSA Workshop: Development Effort SummaryODSA Workshop: Development Effort Summary
ODSA Workshop: Development Effort Summary
 
Design and Testing Challenges for Chiplet Based Design: Assembly and Test View
Design and Testing Challenges for Chiplet Based Design: Assembly and Test ViewDesign and Testing Challenges for Chiplet Based Design: Assembly and Test View
Design and Testing Challenges for Chiplet Based Design: Assembly and Test View
 
ODSA Proof of Concept SmartNIC Speeds & Feeds
ODSA Proof of Concept SmartNIC Speeds & FeedsODSA Proof of Concept SmartNIC Speeds & Feeds
ODSA Proof of Concept SmartNIC Speeds & Feeds
 
ODSA Use Case - SmartNIC
ODSA Use Case - SmartNICODSA Use Case - SmartNIC
ODSA Use Case - SmartNIC
 

Recently uploaded

Digital Banking in the Cloud: How Citizens Bank Unlocked Their Mainframe
Digital Banking in the Cloud: How Citizens Bank Unlocked Their MainframeDigital Banking in the Cloud: How Citizens Bank Unlocked Their Mainframe
Digital Banking in the Cloud: How Citizens Bank Unlocked Their Mainframe
Precisely
 
5th LF Energy Power Grid Model Meet-up Slides
5th LF Energy Power Grid Model Meet-up Slides5th LF Energy Power Grid Model Meet-up Slides
5th LF Energy Power Grid Model Meet-up Slides
DanBrown980551
 
zkStudyClub - LatticeFold: A Lattice-based Folding Scheme and its Application...
zkStudyClub - LatticeFold: A Lattice-based Folding Scheme and its Application...zkStudyClub - LatticeFold: A Lattice-based Folding Scheme and its Application...
zkStudyClub - LatticeFold: A Lattice-based Folding Scheme and its Application...
Alex Pruden
 
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...
Tatiana Kojar
 
Presentation of the OECD Artificial Intelligence Review of Germany
Presentation of the OECD Artificial Intelligence Review of GermanyPresentation of the OECD Artificial Intelligence Review of Germany
Presentation of the OECD Artificial Intelligence Review of Germany
innovationoecd
 
GNSS spoofing via SDR (Criptored Talks 2024)
GNSS spoofing via SDR (Criptored Talks 2024)GNSS spoofing via SDR (Criptored Talks 2024)
GNSS spoofing via SDR (Criptored Talks 2024)
Javier Junquera
 
How to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdf
How to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdfHow to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdf
How to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdf
Chart Kalyan
 
AWS Cloud Cost Optimization Presentation.pptx
AWS Cloud Cost Optimization Presentation.pptxAWS Cloud Cost Optimization Presentation.pptx
AWS Cloud Cost Optimization Presentation.pptx
HarisZaheer8
 
Energy Efficient Video Encoding for Cloud and Edge Computing Instances
Energy Efficient Video Encoding for Cloud and Edge Computing InstancesEnergy Efficient Video Encoding for Cloud and Edge Computing Instances
Energy Efficient Video Encoding for Cloud and Edge Computing Instances
Alpen-Adria-Universität
 
Choosing The Best AWS Service For Your Website + API.pptx
Choosing The Best AWS Service For Your Website + API.pptxChoosing The Best AWS Service For Your Website + API.pptx
Choosing The Best AWS Service For Your Website + API.pptx
Brandon Minnick, MBA
 
Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...
Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...
Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...
Jeffrey Haguewood
 
Fueling AI with Great Data with Airbyte Webinar
Fueling AI with Great Data with Airbyte WebinarFueling AI with Great Data with Airbyte Webinar
Fueling AI with Great Data with Airbyte Webinar
Zilliz
 
Freshworks Rethinks NoSQL for Rapid Scaling & Cost-Efficiency
Freshworks Rethinks NoSQL for Rapid Scaling & Cost-EfficiencyFreshworks Rethinks NoSQL for Rapid Scaling & Cost-Efficiency
Freshworks Rethinks NoSQL for Rapid Scaling & Cost-Efficiency
ScyllaDB
 
Driving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success StoryDriving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success Story
Safe Software
 
Building Production Ready Search Pipelines with Spark and Milvus
Building Production Ready Search Pipelines with Spark and MilvusBuilding Production Ready Search Pipelines with Spark and Milvus
Building Production Ready Search Pipelines with Spark and Milvus
Zilliz
 
leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...
leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...
leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...
alexjohnson7307
 
Programming Foundation Models with DSPy - Meetup Slides
Programming Foundation Models with DSPy - Meetup SlidesProgramming Foundation Models with DSPy - Meetup Slides
Programming Foundation Models with DSPy - Meetup Slides
Zilliz
 
Nordic Marketo Engage User Group_June 13_ 2024.pptx
Nordic Marketo Engage User Group_June 13_ 2024.pptxNordic Marketo Engage User Group_June 13_ 2024.pptx
Nordic Marketo Engage User Group_June 13_ 2024.pptx
MichaelKnudsen27
 
A Comprehensive Guide to DeFi Development Services in 2024
A Comprehensive Guide to DeFi Development Services in 2024A Comprehensive Guide to DeFi Development Services in 2024
A Comprehensive Guide to DeFi Development Services in 2024
Intelisync
 
Generating privacy-protected synthetic data using Secludy and Milvus
Generating privacy-protected synthetic data using Secludy and MilvusGenerating privacy-protected synthetic data using Secludy and Milvus
Generating privacy-protected synthetic data using Secludy and Milvus
Zilliz
 

Recently uploaded (20)

Digital Banking in the Cloud: How Citizens Bank Unlocked Their Mainframe
Digital Banking in the Cloud: How Citizens Bank Unlocked Their MainframeDigital Banking in the Cloud: How Citizens Bank Unlocked Their Mainframe
Digital Banking in the Cloud: How Citizens Bank Unlocked Their Mainframe
 
5th LF Energy Power Grid Model Meet-up Slides
5th LF Energy Power Grid Model Meet-up Slides5th LF Energy Power Grid Model Meet-up Slides
5th LF Energy Power Grid Model Meet-up Slides
 
zkStudyClub - LatticeFold: A Lattice-based Folding Scheme and its Application...
zkStudyClub - LatticeFold: A Lattice-based Folding Scheme and its Application...zkStudyClub - LatticeFold: A Lattice-based Folding Scheme and its Application...
zkStudyClub - LatticeFold: A Lattice-based Folding Scheme and its Application...
 
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...
 
Presentation of the OECD Artificial Intelligence Review of Germany
Presentation of the OECD Artificial Intelligence Review of GermanyPresentation of the OECD Artificial Intelligence Review of Germany
Presentation of the OECD Artificial Intelligence Review of Germany
 
GNSS spoofing via SDR (Criptored Talks 2024)
GNSS spoofing via SDR (Criptored Talks 2024)GNSS spoofing via SDR (Criptored Talks 2024)
GNSS spoofing via SDR (Criptored Talks 2024)
 
How to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdf
How to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdfHow to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdf
How to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdf
 
AWS Cloud Cost Optimization Presentation.pptx
AWS Cloud Cost Optimization Presentation.pptxAWS Cloud Cost Optimization Presentation.pptx
AWS Cloud Cost Optimization Presentation.pptx
 
Energy Efficient Video Encoding for Cloud and Edge Computing Instances
Energy Efficient Video Encoding for Cloud and Edge Computing InstancesEnergy Efficient Video Encoding for Cloud and Edge Computing Instances
Energy Efficient Video Encoding for Cloud and Edge Computing Instances
 
Choosing The Best AWS Service For Your Website + API.pptx
Choosing The Best AWS Service For Your Website + API.pptxChoosing The Best AWS Service For Your Website + API.pptx
Choosing The Best AWS Service For Your Website + API.pptx
 
Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...
Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...
Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...
 
Fueling AI with Great Data with Airbyte Webinar
Fueling AI with Great Data with Airbyte WebinarFueling AI with Great Data with Airbyte Webinar
Fueling AI with Great Data with Airbyte Webinar
 
Freshworks Rethinks NoSQL for Rapid Scaling & Cost-Efficiency
Freshworks Rethinks NoSQL for Rapid Scaling & Cost-EfficiencyFreshworks Rethinks NoSQL for Rapid Scaling & Cost-Efficiency
Freshworks Rethinks NoSQL for Rapid Scaling & Cost-Efficiency
 
Driving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success StoryDriving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success Story
 
Building Production Ready Search Pipelines with Spark and Milvus
Building Production Ready Search Pipelines with Spark and MilvusBuilding Production Ready Search Pipelines with Spark and Milvus
Building Production Ready Search Pipelines with Spark and Milvus
 
leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...
leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...
leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...
 
Programming Foundation Models with DSPy - Meetup Slides
Programming Foundation Models with DSPy - Meetup SlidesProgramming Foundation Models with DSPy - Meetup Slides
Programming Foundation Models with DSPy - Meetup Slides
 
Nordic Marketo Engage User Group_June 13_ 2024.pptx
Nordic Marketo Engage User Group_June 13_ 2024.pptxNordic Marketo Engage User Group_June 13_ 2024.pptx
Nordic Marketo Engage User Group_June 13_ 2024.pptx
 
A Comprehensive Guide to DeFi Development Services in 2024
A Comprehensive Guide to DeFi Development Services in 2024A Comprehensive Guide to DeFi Development Services in 2024
A Comprehensive Guide to DeFi Development Services in 2024
 
Generating privacy-protected synthetic data using Secludy and Milvus
Generating privacy-protected synthetic data using Secludy and MilvusGenerating privacy-protected synthetic data using Secludy and Milvus
Generating privacy-protected synthetic data using Secludy and Milvus
 

ODSA - Power and Heat

  • 1. Consume. Collaborate. Contribute.Consume. Collaborate. Contribute. Power & Heat ODSA Project Workshop March 28, 2019 Ali Husain, ON Semiconductor
  • 2. Consume. Collaborate. Contribute. Topics POC 1.0 • Power Distribution • Power Conversion • Thermal Budgeting • Differential thermal expansion (reliability) FUTURE • Active Interposer • Integrate Passive Components • PMIC Chiplets
  • 3. Consume. Collaborate. Contribute. Power Architecture For Intel Cores, high power input (>100A) at 1.8V On-chip regulator converts from 1.8V → 0.9V (unregulated) Digital & Analog Domains? 1.2/3.3V 1.8V 1.8V 0.9V
  • 4. Consume. Collaborate. Contribute. Power Architecture – Future? • 3.3 or 5V input – local PMIC/chiplet ⎻ Use less power pins ⎻ Separate analog & digital domians ⎻ Need pins for passives ⎻ Conversion losses need to be dissipated • Active interposer ⎻ Technology ⎻ Heat Dissipation ⎻ Ground planes ⎻ Passives 5V 0.9V 1.8V1.2V PMIC 0.9V LDO 0.8V
  • 5. Consume. Collaborate. Contribute. Heat • Thermal Budgeting • Temperature Sensors • Chiplet performance vs. temperature • Local Heating Effects → Power/Thermal Management Controller
  • 6. Consume. Collaborate. Contribute. Chiplet Necessary Specifications • Input Voltage • Max & Typical Supply Current (workload vs. current) • Rth to interposer, case • Power limiting functionality, speed • PMBus or SVID interface?