SlideShare a Scribd company logo
1 of 7
Download to read offline
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 446
IMPLEMENTATION OF DELAY MEASUREMENT TECHNIQUE USING
SIGNATURE REGISTER FOR SMALL-DELAY DEFECT DETECTION
S. Prasanna1
, K. Suganthi2
1
PG Student, 2
Assistant Professor(Sr.G), Department of ECE, SRM University, Tamil Nadu, India,
yourprasanna.s@gmail.com, mailtosuganthik@gmail.com
Abstract
This paper proposes an method for testing a circuit in order to improve defect coverage of delays due to resistive open and close. The
proposed method uses a signature analysis and a scan design to detect small delay defects. This measures the delay of the explicitly
sensitized paths with the resolution of the on-chip variable clock generator. The proposed scan design measures the small delay in
short measurement time by delay measurement technique and extra latches for storing the test vectors. By evaluating with Rohm 0.18-
μm process shows that the measurement time is 67.8% reduced compared with that of the delay measurement with standard scan
design and the area overhead is larger than that of the delay measurement architecture using standard scan design.
Index Terms: Very Large Scale Integration (VLSI), Large Scale Integration (LSI), Design for Testability (DFT)
-----------------------------------------------------------------------***-----------------------------------------------------------------------
1. INTRODUCTION
Modern VLSI chips have stringent timing requirements driven
by shrinking feature sizes. This has resulted in the emergence
of delay faults as a significant problem and introduced the
necessity to detect delay faults. The major types of defects that
occur during the manufacturing of ICs are opens and shorts.
The electrical impact of these defects strongly depends on
their resistance. Low-ohmic shorts and high-ohmic opens in
general cause a logic failure and are therefore easy to detect
during testing. The detection of „resistive‟ shorts and opens is
harder. The electrical impact of these defects is smaller and it
is missed by a slow conventional stuck-at test. Nevertheless,
the detection of resistive opens is a requirement to achieve low
defect levels. The resistive open typically introduces an
additional delay due to which the IC cannot perform at the
intended frequency. To detect these opens one usually uses a
transition fault test or a functional test. Transition fault
patterns can be created with ATPG with the advantage of a
higher fault coverage and a better fault localization than what
is typically achievable with functional patterns. Small delay
faults are usually masked since their impact is too small
compared with the delay of the longest path (which determines
the maximum test speed). This is due to the fact that the
generated patterns have unequal path lengths and a small delay
in a short path can still meet the speed during testing. These
small delays can cause a fail if they are activated in a longer
path during application. Furthermore, they do form a
reliability risk. Therefore development of the embedded delay
measurement technique is required. Some embedded delay
measurement techniques have been proposed. The scan-based
delay measurement technique with the variable clock
generator is one of these on-chip delay measurement
techniques. In this technique, the delay of a path is measured
by continuous sensitization of the path under measurement
with the test clock width reduced gradually by the resolution.
The main good point of the scan-based delay measurement
technique is its high accuracy. The reason of the high accuracy
is that the technique measures just the period between the time
when a transition is launched to the measured path and the
time when the transition is captured by the flip flop connected
to the path, directly. The variation of the measured value just
depends on the variation of the clock frequency of the clock
generator. Therefore, if the clock generator is compensated the
influence of the process variation, the measured value does not
depend on the process variation.
1.1 Existing Method
The scan-based delay measurement technique with the
variable clock generator is one of these on-chip delay
measurement technique. In this technique, the delay of a path
is measured by continuous sensitization of the path under
measurement with the test clock width reduced gradually by
the resolution. The main good point of the scan-based delay
measurement technique is its high accuracy. The reason of the
high accuracy is that the technique measures just the period
between the time when a transition is launched to the
measured path and the time when the transition is captured by
the flip flop connected to the path, directly. The variation of
the measured value just depends on the variation of the clock
frequency of the clock generator. Therefore, if the clock
Generator is compensated the influence of the process
variation, the measured value does not depend on the process
variation.
However, it has a drawback. The measurement time of the
technique depends on the time for the scan operation. These
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 447
days, the gap between the functional clock and scan clock
frequency increases. Therefore the measurement time becomes
too long to make it practical.
The flip flop reduces the required number of scan operations,
which makes the measurement time practical. They also
proposed the area reduction technique of the self testing scan-
FF. However, the area overhead of these methods is still
expensive compared with the conventional scan designs.
1.2 Disadvantages of Existing System
 Less reliability
 Impossible to measure the small circuit path delays
using an external tester, even if the resolution is high
1.3 Proposed System
This paper presents a scan-based delay measurement
technique using signature registers for small-delay defect
detection. The proposed method does not require the expected
test vector because the test responses are analyzed by the
signature registers. The overall area cost is of the order of
conventional scan designs for design for test (DFT). The
measurement time of the proposed technique is smaller than
conventional scan-based delay measurement. The extra
signature registers can be reused for testing, diagnosis, and
silicon debugging.
Various methods for small-delay defect detection have been
proposed. The path delay fault testing with a normal clock
width is the most popular and is widely used. In this method,
we choose the longer paths to detect the smaller cumulative
delay due to the small delay distributed on the paths. The
larger the cumulative delays, the higher the probability of the
detection of the distributed small delay. However, the
coverage of the small delay defect detection largely depends
on the normal clock width, which is a problem of this method.
On the other hand, to solve the problem, methods with delay
fault testing using a variable clock generator have been
proposed. The delay fault testing with a smaller test clock
reduces the slack of the paths. Therefore the smaller delay
defects which cannot be captured with the normal clock width
can be captured with the appropriate smaller test clock width.
1.4 Advantages of Proposed System
The proposed method does not require the expected test vector
because the test responses are analyzed by the signature
registers. The measurement time of the proposed technique is
smaller than conventional scan-based delay measurement.
1.5 Variable Clock Generator
In addition, it can be used not only for the detection of small
delay defects, but also for the debugging. Because modern
chips are too huge and complex, LSI CAD tools cannot
optimize the design enough. Hence, the manufactured first
silicon chip usually does not meet the specification in spite of
the tighter release to production (RTP) schedule. Therefore
silicon debugging and design for debugging (DFD) become
much more important in modern chips.
Various silicon debugging technologies and DFD methods
have been proposed. On-chip delay measurement provides
accurate information of the delay of inside paths for the
debugging of small-delay defects.
In the proposed method, the clock width should be reduced
continuously by a constant interval as explained later this
clock operation. Therefore an on chip variable clock generator
is indispensable for the proposed method.
Fig -1: Variable clock generator
2. DELAY MEASUREMENT TECHNIQUE USING
SIGNATURE REGISTER
Basically, the proposed method is scan-based delay
measurement. The difference from the basic one is the usage
of the signature registers and the additional latches for the
acceleration of the delay measurement. first, we assume that
each flipflop has its own extra latch. The value of each flipflop
is stored in the correspondent latch, and the value of each latch
can be loaded to the correspondent flipflops in arbitrary
timing. In the proposed measurement, the test vector is stored
in these latches after scan-in operation. Once the test vector is
stored in the latches, the test vector can be loaded from these
latches in a clock without scan-in operation. It reduces the
time for multiple sensitization of a path drastically.
2.1 Scan Flip Flop for Measurement
Fig. 2 is the gate level description of the scan flipflop for the
proposed measurement. The lines D, Q, and clk are the input,
output, and clock lines, respectively. The lines si and so are
the input and output and clock lines, respectively. The line
latch is connected to an extra latch which provides the test bit
of flip flop. The lines si and so and are the input and output for
constructing the scan path. The input is connected to an
adjacent scan flipflop or the scan input. The output is
connected to of an adjacent scan flipflop or the scan output.
The flipflop has two multiplexers. The lines si and latch are
the inputs of the upper multiplexer controlled by se1.The
output of the upper multiplexer and D are the inputs of the
bottom multiplexer controlled by se0. When se0=1 and se1=1,
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 448
the flip flop is in scan operation mode. When se0=1, se1=0,
the flip flop loads the value stored in the latch connected to the
latch line.
Fig -2: Scan flip flop
2.2 Reconfigurable Signature Register
The signature register for the proposed measurement requires
the following functions to meet the demand of the proposed
measurement.
 Capturing the test response in arbitrary timing.
 Shifting out the signature data in arbitrary timing.
Figure. 3 shows the architecture of the signature register for
the proposed measurement. The length of the signature
register in this example is four bit. Therefore it has four
flipflop FF0, FF1, FF2, FF3. The signature register can be
configured to a shift register. The line sge controls the
configuration. When sge=1, it works as a signature register.
When sge=0, it works as a shift register. The line in is the
input of the signature register. During measurement, test
responses are sent to in. The line clk is clock line. The clock
line is controlled by sck. When sck=0, the signature register
does not capture the input value. When sck=1, the signature
register captures the input value synchronizing with the
positive edge of clk. By controlling sck, the signature registers
capture only the target test response.
Fig -3: Reconfigurable Signature Register
When sge=0, this circuit is configure to the shift register. The
input is sgi. The output is sgo. The measurement system
requires multiple signature registers generally. The input and
output are connected to the output and the input of adjacent
signature registers to construct a long shift register for sending
all the signature values to the external tester.
3. DELAY MEASUREMENT SYSTEM
Figure. 4 shows the proposed measurement system. The
proposed system consists of the low cost tester and the chip
with the variable clock generator (VCG) and a BCD decoder.
The chip is assumed to have single functional clock in the
proposed method, and the chip has two reset lines for
initializing the flipflop and the signature registers
independently. The low cost tester controls the whole
measurement sequence. The clock frequency tck is slower
than the functional clock. The line retrieves the signature data
from the signature registers to estimate the measured delay.
The line sci sends the test vectors to the scan input of the chip.
The line sco gets the data of the flipflop from the scan output
of the chip. In the proposed measurement sequence, sco is not
used. However, it is used to check the flipflop or the additional
latch es before the measurement. The line cs is the clock
control line.
The proposed measurement uses both the slow tester clock tck
and the fast double pulse generated by on-chip VCG. The line
cs selects the slow and fast clock. If cs is 1, the fast clock is
sent to the clk clock line of the components. Otherwise the
slow tester clock tck is sent. The lines trg and cnt are the input
lines for VCG. The fast double pulse is launched
synchronizing with the positive edge of clk. The line
scj0……..scjl-1 controls the width of the double pulse. The
line controls the scan flip flops. The line controls the latches
for storing test vectors. The lines are the inputs for the
encoded data to control the capture operation of the signature
registers. The BCD decoder decodes the encoded input data to
the control data of the signature registers, the decoder is used
to reduce the input lines for the control data of the signature
registers. The sge is the enable signal for the signature
registers. The flip flops in the chip are classified to the clusters
clo……..cl(m-1).
3.1 Measurement Sequence per a Test Vector
When the measurement system has m signature SIGo…SIG
(m-1), m paths can be measured in parallel maximally. To
reduce the measurement time we measure multiple paths
simultaneously.
We explain the measurement strategy using the example
depicted in Fig. 5. In this example, the proposed method is
applied to the circuit with six flip flops FFo- FF5. These flip
flops are classified to the two clusters Clo and Cl1. the cluster
Clo includes (FFo, FF1, FF2), and Cl1 includes FF3, FF4,
FF5. The cluster Clo has the signature register SIGo. The
cluster Cl1 has the signature register SIG1. The paths
p1,p2,p3,p5 are sensitized simultaneously by the test vector (
FFo,FF1,FF2, FF3,FF4,FF5 ) = (0,0,1,0,1,1).
The test response pi of is captured by FFi. The expected test
response is (FFo,FF1,FF2, F3,FF4,FF5)=(1,1,0,1,0,0).The
paths p1 and p2 are measured by SIG0. The paths P3 and P5
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 449
are measured by SIG1. The combination of the two paths, one
of which is selected from P1 and P2, the other of which is
selected from P3 and P5, can be measured simultaneously.
Fig -4: Delay Measurement System
Although the four paths are sensitized by the test vector, two
paths can be measured simultaneously at most. Hence, we
measure the four paths divided into two measurement stages
STG0 AND STG1 each of which measures two paths P1 and
P5 in STG0 and P2 and P3 in STG1 simultaneously. First, the
test vector is set to the flip flops with scan-in operation. After
that, the values of the flip flops are set to the extra latches (a).
Second, the first stage measurement is performed (b). Third,
the second stage measurement is performed (c).
In each stage, the paths under measurement are tested multiple
times with reducing the test clock width. Steps (b) and (c)
show the state just after the test execution. The flip flops hold
the test response. The latches hold the test vector. After the
testing, the test responses are shifted to the signature registers
SIG0 and SIG1 and with the clock operation of clk . The
required number of shift clocks of a stage is the maximum
number of the shift clocks among the paths measured
simultaneously in the stage. For example, in STG0, two clocks
are required to send the test response of P1 to STG1, while
one clock is required to send the test response of P5 to SIG1.
To capture only the target test response value, the control bit
sequences are sent to sck0 and sck1. In SIG0, the test response
of P1 is captured to FF1. Therefore SIG0 should capture the
value two clocks later. This operation is realized by sending
the bit sequence “01” to sck0 synchronizing to clk . To capture
the test result of p5, SIG1 should capture the value one clock
later. This operation is realized by sending the bit sequence
“10” to synchronizing sck1to the clk in STG0. In STG1, the
test response of P2 is captured by sending the bit sequence
“100” to sck0, and the test response of P3 is captured by
sending the bit sequence “001” to sck1, respectively.
(a)
(b)
(c)
Fig -5: Measurement of Paths Sensitized in a Test Vector in
Parallel.
Fi-6 shows the timing chart of this operation. The low cost
tester controls the whole measurement sequence. For the
measurement both VCG clock and tester clock are used. The
clock selection is controlled by cs. The trigger signal trg and
the control signal cnt is provided to VCG. The control data cnt
is updated after each testing operation. In STGo, STG1
captures the test response in the second shift-out clock.
Therefore sck0 turns to 1 synchronizing with the negative
edge of the first clock of the shift-out operation.The latch
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 450
clock lck captures the values of the flip flops just after the
scan-in operation is finished. The se0, se1, se2 are controlled
as explained previously
Fig -6: Timing Chart of the Sequence of Fig-5
3.2 Whole Measurement Sequence
Here, we assume that the test set for the measurement TS has
N TV test vectors tv0, tv1,...tvNTV-1. The number of the
stages of tvi is Nst (i) . Before measurement, we have to check
if the flip flops, the latches, and the clock generator work
correctly by applying test vectors or other checking methods.
After that, the following measurement sequence is executed.
Step 1: Initialize the variable i=0.
Step 2: If I is equal to Ntv, finish, otherwise initialize the
variable j to 0, and set tvi to the flipflop with scan-in
operation.
Step 3: Send the values of the flipflop to the latches.
Step 4: The paths included in STGj are measured
Simultaneously. After that is updated to (j+1).
Step 5: If j is equal to Nst (i, go to Step 6, otherwise load the
test vector from the latches to flip flops, and go to Step 4.
Step 6: I is updated to (i+1) , and go to Step 2.
3.3 Tester Channel Reduction
If sck of each signature register is directly fed to the input of
the chip, it requires the same number of the extra inputs as the
of the signature registers. It increases tester channel width. To
keep the tester channel width short, we use the BCD decoder
as depicted in Fig. 6. The decoder circuit transforms n bit
binary code to the corresponding 2^n width decimal code. For
example, when n=2, scj0scj1=01,the corresponding decimal
code is sck0sck1sck2sck3=0100.
We explain how to encode the sck bit sequences to the
corresponding binary code scj with the example depicted in fig
9.This example consists of three clusters cl0, cl1,and cl2. Each
cluster has three flip flops. Consider the case that the test
response of the sensitized flip.paths captured in FF01, FF12,
and FF20.In the shift out operation after a testing, the test
response of FF01 is captured by SIG0 two clocks later.
Therefore the bit sequence “010” should be sent to sck0.the
test response of FF12 is captured by SIG1 one clock later.
Therefore the bit sequence “100” should be sent to sck1.The
test response of FF20 is captured by SIG2 three clock later.
Therefore the bit sequence “001” should be sent to sck2.each
bit value of these bit sequences is grouped. the group of the
0th bit values is sck0sck1sck2=010.those of the first bit values
and second bit values are sck0sck1sck2=100,
sck0sck1sck2=001,respectively. We call each group slice.
Here, sli represents the slice of the ith bit .Finally, these
decimal codes are transformed into the corresponding binary
code .The 0th slice sl0 “010”is transferred to”01” The 1st slice
sl1 “100”is transferred to”10” the 2nd slice sl2 “001”is
transferred to”11”.
Fig -7 Encoding the Output Data of BCD Decoder to the Input
Data of BCD Decoder
As a result, the bit width of the data is reduced from 3 bit to 2
bit by this transformation. Generally, the width of the slice of
sck is n, the width of encoded slice of scj is [log2n] .However,
for the encoding, each slice is permitted only 1 bit with the
value 1. More than two bits with value 1 is not permitted. This
restriction puts the constrain on ATPG for the generation of
the test set for the measurement.
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 451
4. EXPERIMENTAL RESULTS
In this section, on-chip path delay under different process
variations will be simulated and measured. During the
simulation we measure the delay of each path under test.
Random faults were injected in circuits to generate erroneous
data. Random input patterns were applied to the ISCAS-89
benchmark circuits and compared with proposed method. The
length of the signature register is 8 bit. The test set consists of
test vectors which detects all single-path sensitizable transition
faults. The paths sensitized by these test vectors are measured.
The measurement time using the proposed scan design and is
calculated by
Tsig=time required for {whole scan-in + double pulse + SIG
data}
Table –I: Measurement time
Circuit EMB Tsig Rt
S5378 635.4 181.2 71.5
S9234 703.1 135.5 80.7
S38584 4025 1011.5 72.6
Ave 74
Table -II: Comparison With Delay Measurement Using
Embedded Delay Measurement Circuit
Circuit EMB SIG R
S5378 46.7 181.2 3.9
S9234 164.5 135.5 0.8
Ave 2.3
The proposed method is compared with the delay
measurement with conventional scan design, namely standard
scan design and enhanced scan design. The length of the
cluster size is restricted to 32. The measurement time is shown
in Table I and this method is compares with delay
measurement using embedded delay measurement circuit
shown in Table II. The Device utilization summary for the
delay measurement technique is shown in Table III.
Table –III: Device Utilization Summary
Logic
Utilization
Used Available Utilization
Total number of
slice register
43 7168 1%
Number Used
as Flip Flops
31
Number Used
as Latches
12
Number of 4
input LUTs
47 7168 1%
Number of
occupied Slices
47 3584 1%
Number of
Slices
containing only
related logic
47 47 100%
Number of
Slices
containing only
unrelated logic
0 47 0%
Total Number
of $ input LUTs
47 7168 1%
Number of
bonded IOBs
13 141 9%
Number of
BUFGMUXs
3 8 37%
Average Fanout
of Non-Clock
Nets
2.76
CONCLUSIONS
We have presented a measurement technique, based on
signature analysis, for screening small-delay defects and we
also generated an on-chip variable clock generator for double
pulse width and latches for short measurement time in scan
design and compared with standard scan design. A future
work is extension of cluster and implementation of FPGA with
low cost application.
ACKNOWLEDGEMENTS
I sincerely acknowledge in all earnestness, the patronage
provided by our Director Dr. C. Muthamizhchelvan,
Engineering & Technology, to endeavour this project and I
wish to express my deep sense of gratitude and sincere thanks
to our Professor and Head of the Department Dr. S.
Malarvizhi, for her encouragement, timely help and advice
offered to me. I am very grateful to my guide Mrs.K.Suganthi,
who has guided with inspiring dedication, untiring efforts and
tremendous enthusiasm in making this project successful and
presentable and I extend my gratitude and heart full thanks to
all the staff and non-teaching staff of Electronics and
Communication Department and to my parents and friends,
who extended their kind co-operation by means of valuable
suggestions and timely help during the course of this project
work.
REFERENCES:
[1] An On-Chip Delay Measurement Technique Using
Signature registers for Small-Delay Defect
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 452
Detectionkentaroh Katoh, Member, IEEE, Kazuteru
Namba, Member, IEEE, and Hideo Ito, Member, IEEE.
[2] N. Ahmed and M. Tehranipoor, Nanometer Technology
Designs: High Quality Delay Tests.New York: Springer,
2007.
[3] K. Noguchi, K. Nose, T. Ono, and M. Mizuno, “A small-
delay defect detection technique for dependable LSIs,” in
Proc. IEEE Symp. VLSI Circuits, 2008, pp. 64–65.
[4] Dervisoglu and G. E. Stong, “Design for testability: Using
scan-path techniques for path-delay test and
measurement,” in Proc. IEEE Int. Test Conf. (ITC), 1991,
pp. 365–374.
[5] K. Noguchi, K. Nose, T. Ono, and M. Mizuno, “An area
reduction technique of self-testing FFs for small-delay
defects detection,” The Inst. Electron., Inf. Common. Eng.
(IEICE), Tech. Rep. DC2009-16,2009.
[6] J. Lee and E. J. McCluskey, “Failing frequency signature
analysis,” in Proc. Int. Test Conf. (ITC), 2008, pp. 1–8.
[7] T.-Y. Li, S.-Y. Huang, H.-J. Hsu, C.-W. Tzeng, C.-T.
Huang, J.-J. Liou, H.-P. Ma, P.-C. Huang, J.-C. Bor, and
C.-W. Wu, “Af test: Adaptive-frequency scan test
methodology for small-delay defects,” in Proc. IEEE Int.
Symp. Defect Fault Toler. VLSI Syst. (DFT), 2010, pp.
340–348.
[8] Xilinx, San Jose, CA, “Virtex-5 user guide,” 2010.
[Online]. Available: www.xilinx.com.
[9] J.-S. Yang and N. A. Touba, “Enhancing silicon debug
via periodic monitoring,” in Proc IEEE Int. Symp. Defect
Fault Toler. (DFT), 2008, pp. 125-133.
[10]B. Kruseman, A. K. Majhi, G. Gronthoud, and S.
Eichenberger, ”On hazard-free patterns for fine-delay
fault testing,” in Proc. Int. Test Conf. (ITC), 2004, pp.
213-222.
BIOGRAPHIES:
S. Prasanna was born in Salem, India, in
1991. He received B.E from Dr.
Mahalingam College of Engineering and
Technology, coimbatore, in 2007 and
doing M.Tech in VLSI Design from
SRM University, Chennai, India. His
research interests, include Digital design,
Testing of VLSI Circuits.
K. Suganthi was born in Chennai, India,
in 1981. She received B.E from
Jerusalem College of engineering,
Chennai, in 2003 and M.Tech in VLSI
Design from SRM University, Chennai,
in 2007, India. Her research interests,
include Digital design, VLSI design,
innovative devices and low power VLSI.
Currently working as an Assistant Professor, Department of
Electronics & Communication Engineering, SRM University.

More Related Content

Viewers also liked

Study of protein content and effect of p h variation on solubility of seed pr...
Study of protein content and effect of p h variation on solubility of seed pr...Study of protein content and effect of p h variation on solubility of seed pr...
Study of protein content and effect of p h variation on solubility of seed pr...eSAT Publishing House
 
Загадки о птицах
Загадки о птицахЗагадки о птицах
Загадки о птицахdrugsem
 
Ga based dynamic routing in wdm optical networks
Ga based dynamic routing in wdm optical networksGa based dynamic routing in wdm optical networks
Ga based dynamic routing in wdm optical networkseSAT Publishing House
 
Kaoru.K_portfolio_ADV124
Kaoru.K_portfolio_ADV124Kaoru.K_portfolio_ADV124
Kaoru.K_portfolio_ADV124Kaoru Kishigami
 
Stone Reuling- PPP
Stone Reuling- PPPStone Reuling- PPP
Stone Reuling- PPPStoneReuling
 
Sistema operativo
Sistema operativoSistema operativo
Sistema operativopvf79
 
Experimental investigation of effectiveness of heat wheel as a rotory heat ex...
Experimental investigation of effectiveness of heat wheel as a rotory heat ex...Experimental investigation of effectiveness of heat wheel as a rotory heat ex...
Experimental investigation of effectiveness of heat wheel as a rotory heat ex...eSAT Publishing House
 
Alternative Funding For Game Devs - GDC2015 - Pollen VC
Alternative Funding For Game Devs - GDC2015 - Pollen VCAlternative Funding For Game Devs - GDC2015 - Pollen VC
Alternative Funding For Game Devs - GDC2015 - Pollen VCMartin Macmillan
 
Effect of machining parameters on surface roughness for 6063 al tic (5 & 10 %...
Effect of machining parameters on surface roughness for 6063 al tic (5 & 10 %...Effect of machining parameters on surface roughness for 6063 al tic (5 & 10 %...
Effect of machining parameters on surface roughness for 6063 al tic (5 & 10 %...eSAT Publishing House
 
Solentive / InRule AADI Gartner Summit 2014
Solentive / InRule AADI Gartner Summit 2014Solentive / InRule AADI Gartner Summit 2014
Solentive / InRule AADI Gartner Summit 2014Solentive
 
Космическое фотопутешествие с телескопом хаббл
Космическое фотопутешествие с телескопом хабблКосмическое фотопутешествие с телескопом хаббл
Космическое фотопутешествие с телескопом хабблdrugsem
 
Presentación 2 modernismo en venezuela
Presentación 2 modernismo en venezuelaPresentación 2 modernismo en venezuela
Presentación 2 modernismo en venezuelaRubi marin
 
Addressing the cloud computing security menace
Addressing the cloud computing security menaceAddressing the cloud computing security menace
Addressing the cloud computing security menaceeSAT Publishing House
 
和食って何?
和食って何?和食って何?
和食って何?Maho Isono
 
Granularity of efficient energy saving in wireless sensor networks
Granularity of efficient energy saving in wireless sensor networksGranularity of efficient energy saving in wireless sensor networks
Granularity of efficient energy saving in wireless sensor networkseSAT Publishing House
 

Viewers also liked (20)

camo guardiannnn
camo guardiannnncamo guardiannnn
camo guardiannnn
 
Study of protein content and effect of p h variation on solubility of seed pr...
Study of protein content and effect of p h variation on solubility of seed pr...Study of protein content and effect of p h variation on solubility of seed pr...
Study of protein content and effect of p h variation on solubility of seed pr...
 
Загадки о птицах
Загадки о птицахЗагадки о птицах
Загадки о птицах
 
Ga based dynamic routing in wdm optical networks
Ga based dynamic routing in wdm optical networksGa based dynamic routing in wdm optical networks
Ga based dynamic routing in wdm optical networks
 
Kaoru.K_portfolio_ADV124
Kaoru.K_portfolio_ADV124Kaoru.K_portfolio_ADV124
Kaoru.K_portfolio_ADV124
 
Stone Reuling- PPP
Stone Reuling- PPPStone Reuling- PPP
Stone Reuling- PPP
 
Sistema operativo
Sistema operativoSistema operativo
Sistema operativo
 
Experimental investigation of effectiveness of heat wheel as a rotory heat ex...
Experimental investigation of effectiveness of heat wheel as a rotory heat ex...Experimental investigation of effectiveness of heat wheel as a rotory heat ex...
Experimental investigation of effectiveness of heat wheel as a rotory heat ex...
 
Alternative Funding For Game Devs - GDC2015 - Pollen VC
Alternative Funding For Game Devs - GDC2015 - Pollen VCAlternative Funding For Game Devs - GDC2015 - Pollen VC
Alternative Funding For Game Devs - GDC2015 - Pollen VC
 
Effect of machining parameters on surface roughness for 6063 al tic (5 & 10 %...
Effect of machining parameters on surface roughness for 6063 al tic (5 & 10 %...Effect of machining parameters on surface roughness for 6063 al tic (5 & 10 %...
Effect of machining parameters on surface roughness for 6063 al tic (5 & 10 %...
 
Solentive / InRule AADI Gartner Summit 2014
Solentive / InRule AADI Gartner Summit 2014Solentive / InRule AADI Gartner Summit 2014
Solentive / InRule AADI Gartner Summit 2014
 
Reosto ppt
Reosto pptReosto ppt
Reosto ppt
 
Космическое фотопутешествие с телескопом хаббл
Космическое фотопутешествие с телескопом хабблКосмическое фотопутешествие с телескопом хаббл
Космическое фотопутешествие с телескопом хаббл
 
ESTRUCTURAS ANIDADAS PRESENTACION
ESTRUCTURAS ANIDADAS PRESENTACIONESTRUCTURAS ANIDADAS PRESENTACION
ESTRUCTURAS ANIDADAS PRESENTACION
 
Leyes de gestalt
Leyes de gestaltLeyes de gestalt
Leyes de gestalt
 
Presentación 2 modernismo en venezuela
Presentación 2 modernismo en venezuelaPresentación 2 modernismo en venezuela
Presentación 2 modernismo en venezuela
 
Addressing the cloud computing security menace
Addressing the cloud computing security menaceAddressing the cloud computing security menace
Addressing the cloud computing security menace
 
和食って何?
和食って何?和食って何?
和食って何?
 
Hydraulic cylinder trubleshoting
Hydraulic cylinder trubleshotingHydraulic cylinder trubleshoting
Hydraulic cylinder trubleshoting
 
Granularity of efficient energy saving in wireless sensor networks
Granularity of efficient energy saving in wireless sensor networksGranularity of efficient energy saving in wireless sensor networks
Granularity of efficient energy saving in wireless sensor networks
 

Similar to IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163

Scan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature RegistersScan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature RegistersIJMER
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...ijcisjournal
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...eSAT Journals
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...eSAT Publishing House
 
Clock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft TechniqueClock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft TechniqueIJERA Editor
 
A new wavelet feature for fault diagnosis
A new wavelet feature for fault diagnosisA new wavelet feature for fault diagnosis
A new wavelet feature for fault diagnosisIAEME Publication
 
Design and simulation of radio frequency
Design and simulation of radio frequencyDesign and simulation of radio frequency
Design and simulation of radio frequencyeSAT Journals
 
An efficient fpga based space vector pulse width modulation implementation fo...
An efficient fpga based space vector pulse width modulation implementation fo...An efficient fpga based space vector pulse width modulation implementation fo...
An efficient fpga based space vector pulse width modulation implementation fo...eSAT Journals
 
An improved approach to minimize context switching in round robin scheduling ...
An improved approach to minimize context switching in round robin scheduling ...An improved approach to minimize context switching in round robin scheduling ...
An improved approach to minimize context switching in round robin scheduling ...eSAT Publishing House
 
A survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesA survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesIJECEIAES
 
Inline Testing of ERW Tubes Using Ultrasonic Guided Wave EMATs
Inline Testing of ERW Tubes Using Ultrasonic Guided Wave EMATsInline Testing of ERW Tubes Using Ultrasonic Guided Wave EMATs
Inline Testing of ERW Tubes Using Ultrasonic Guided Wave EMATsInnerspec Technologies
 
NF Frequency Response Analyzer FRA tecknical guide
NF Frequency Response Analyzer FRA  tecknical guide NF Frequency Response Analyzer FRA  tecknical guide
NF Frequency Response Analyzer FRA tecknical guide NIHON DENKEI SINGAPORE
 
Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.ijsrd.com
 
IRJET- Design and Fabrication of Digital Fuel Meter with the Help of Ultrason...
IRJET- Design and Fabrication of Digital Fuel Meter with the Help of Ultrason...IRJET- Design and Fabrication of Digital Fuel Meter with the Help of Ultrason...
IRJET- Design and Fabrication of Digital Fuel Meter with the Help of Ultrason...IRJET Journal
 
Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...IJERA Editor
 

Similar to IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 (20)

Scan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature RegistersScan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature Registers
 
Fv3610681072
Fv3610681072Fv3610681072
Fv3610681072
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
 
Es35834838
Es35834838Es35834838
Es35834838
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...
 
Clock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft TechniqueClock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft Technique
 
A new wavelet feature for fault diagnosis
A new wavelet feature for fault diagnosisA new wavelet feature for fault diagnosis
A new wavelet feature for fault diagnosis
 
Ig3415411546
Ig3415411546Ig3415411546
Ig3415411546
 
Design and simulation of radio frequency
Design and simulation of radio frequencyDesign and simulation of radio frequency
Design and simulation of radio frequency
 
An efficient fpga based space vector pulse width modulation implementation fo...
An efficient fpga based space vector pulse width modulation implementation fo...An efficient fpga based space vector pulse width modulation implementation fo...
An efficient fpga based space vector pulse width modulation implementation fo...
 
An improved approach to minimize context switching in round robin scheduling ...
An improved approach to minimize context switching in round robin scheduling ...An improved approach to minimize context switching in round robin scheduling ...
An improved approach to minimize context switching in round robin scheduling ...
 
[IJET V2I5P3] Authors: A RAJENDRA BABU, SHIVA MURTHY.G
[IJET V2I5P3] Authors: A RAJENDRA BABU, SHIVA MURTHY.G [IJET V2I5P3] Authors: A RAJENDRA BABU, SHIVA MURTHY.G
[IJET V2I5P3] Authors: A RAJENDRA BABU, SHIVA MURTHY.G
 
A survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesA survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniques
 
Inline Testing of ERW Tubes Using Ultrasonic Guided Wave EMATs
Inline Testing of ERW Tubes Using Ultrasonic Guided Wave EMATsInline Testing of ERW Tubes Using Ultrasonic Guided Wave EMATs
Inline Testing of ERW Tubes Using Ultrasonic Guided Wave EMATs
 
Bt34433436
Bt34433436Bt34433436
Bt34433436
 
NF Frequency Response Analyzer FRA tecknical guide
NF Frequency Response Analyzer FRA  tecknical guide NF Frequency Response Analyzer FRA  tecknical guide
NF Frequency Response Analyzer FRA tecknical guide
 
Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.
 
IRJET- Design and Fabrication of Digital Fuel Meter with the Help of Ultrason...
IRJET- Design and Fabrication of Digital Fuel Meter with the Help of Ultrason...IRJET- Design and Fabrication of Digital Fuel Meter with the Help of Ultrason...
IRJET- Design and Fabrication of Digital Fuel Meter with the Help of Ultrason...
 
Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...
 

More from eSAT Publishing House

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnameSAT Publishing House
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...eSAT Publishing House
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnameSAT Publishing House
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...eSAT Publishing House
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaeSAT Publishing House
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingeSAT Publishing House
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...eSAT Publishing House
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...eSAT Publishing House
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...eSAT Publishing House
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a revieweSAT Publishing House
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...eSAT Publishing House
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard managementeSAT Publishing House
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallseSAT Publishing House
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...eSAT Publishing House
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...eSAT Publishing House
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaeSAT Publishing House
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structureseSAT Publishing House
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingseSAT Publishing House
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...eSAT Publishing House
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...eSAT Publishing House
 

More from eSAT Publishing House (20)

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnam
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnam
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, india
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity building
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a review
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard management
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear walls
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of india
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structures
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildings
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
 

Recently uploaded

Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSISrknatarajan
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxhumanexperienceaaa
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Call Girls in Nagpur High Profile
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 

Recently uploaded (20)

Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSIS
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
 

IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163

  • 1. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 446 IMPLEMENTATION OF DELAY MEASUREMENT TECHNIQUE USING SIGNATURE REGISTER FOR SMALL-DELAY DEFECT DETECTION S. Prasanna1 , K. Suganthi2 1 PG Student, 2 Assistant Professor(Sr.G), Department of ECE, SRM University, Tamil Nadu, India, yourprasanna.s@gmail.com, mailtosuganthik@gmail.com Abstract This paper proposes an method for testing a circuit in order to improve defect coverage of delays due to resistive open and close. The proposed method uses a signature analysis and a scan design to detect small delay defects. This measures the delay of the explicitly sensitized paths with the resolution of the on-chip variable clock generator. The proposed scan design measures the small delay in short measurement time by delay measurement technique and extra latches for storing the test vectors. By evaluating with Rohm 0.18- μm process shows that the measurement time is 67.8% reduced compared with that of the delay measurement with standard scan design and the area overhead is larger than that of the delay measurement architecture using standard scan design. Index Terms: Very Large Scale Integration (VLSI), Large Scale Integration (LSI), Design for Testability (DFT) -----------------------------------------------------------------------***----------------------------------------------------------------------- 1. INTRODUCTION Modern VLSI chips have stringent timing requirements driven by shrinking feature sizes. This has resulted in the emergence of delay faults as a significant problem and introduced the necessity to detect delay faults. The major types of defects that occur during the manufacturing of ICs are opens and shorts. The electrical impact of these defects strongly depends on their resistance. Low-ohmic shorts and high-ohmic opens in general cause a logic failure and are therefore easy to detect during testing. The detection of „resistive‟ shorts and opens is harder. The electrical impact of these defects is smaller and it is missed by a slow conventional stuck-at test. Nevertheless, the detection of resistive opens is a requirement to achieve low defect levels. The resistive open typically introduces an additional delay due to which the IC cannot perform at the intended frequency. To detect these opens one usually uses a transition fault test or a functional test. Transition fault patterns can be created with ATPG with the advantage of a higher fault coverage and a better fault localization than what is typically achievable with functional patterns. Small delay faults are usually masked since their impact is too small compared with the delay of the longest path (which determines the maximum test speed). This is due to the fact that the generated patterns have unequal path lengths and a small delay in a short path can still meet the speed during testing. These small delays can cause a fail if they are activated in a longer path during application. Furthermore, they do form a reliability risk. Therefore development of the embedded delay measurement technique is required. Some embedded delay measurement techniques have been proposed. The scan-based delay measurement technique with the variable clock generator is one of these on-chip delay measurement techniques. In this technique, the delay of a path is measured by continuous sensitization of the path under measurement with the test clock width reduced gradually by the resolution. The main good point of the scan-based delay measurement technique is its high accuracy. The reason of the high accuracy is that the technique measures just the period between the time when a transition is launched to the measured path and the time when the transition is captured by the flip flop connected to the path, directly. The variation of the measured value just depends on the variation of the clock frequency of the clock generator. Therefore, if the clock generator is compensated the influence of the process variation, the measured value does not depend on the process variation. 1.1 Existing Method The scan-based delay measurement technique with the variable clock generator is one of these on-chip delay measurement technique. In this technique, the delay of a path is measured by continuous sensitization of the path under measurement with the test clock width reduced gradually by the resolution. The main good point of the scan-based delay measurement technique is its high accuracy. The reason of the high accuracy is that the technique measures just the period between the time when a transition is launched to the measured path and the time when the transition is captured by the flip flop connected to the path, directly. The variation of the measured value just depends on the variation of the clock frequency of the clock generator. Therefore, if the clock Generator is compensated the influence of the process variation, the measured value does not depend on the process variation. However, it has a drawback. The measurement time of the technique depends on the time for the scan operation. These
  • 2. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 447 days, the gap between the functional clock and scan clock frequency increases. Therefore the measurement time becomes too long to make it practical. The flip flop reduces the required number of scan operations, which makes the measurement time practical. They also proposed the area reduction technique of the self testing scan- FF. However, the area overhead of these methods is still expensive compared with the conventional scan designs. 1.2 Disadvantages of Existing System  Less reliability  Impossible to measure the small circuit path delays using an external tester, even if the resolution is high 1.3 Proposed System This paper presents a scan-based delay measurement technique using signature registers for small-delay defect detection. The proposed method does not require the expected test vector because the test responses are analyzed by the signature registers. The overall area cost is of the order of conventional scan designs for design for test (DFT). The measurement time of the proposed technique is smaller than conventional scan-based delay measurement. The extra signature registers can be reused for testing, diagnosis, and silicon debugging. Various methods for small-delay defect detection have been proposed. The path delay fault testing with a normal clock width is the most popular and is widely used. In this method, we choose the longer paths to detect the smaller cumulative delay due to the small delay distributed on the paths. The larger the cumulative delays, the higher the probability of the detection of the distributed small delay. However, the coverage of the small delay defect detection largely depends on the normal clock width, which is a problem of this method. On the other hand, to solve the problem, methods with delay fault testing using a variable clock generator have been proposed. The delay fault testing with a smaller test clock reduces the slack of the paths. Therefore the smaller delay defects which cannot be captured with the normal clock width can be captured with the appropriate smaller test clock width. 1.4 Advantages of Proposed System The proposed method does not require the expected test vector because the test responses are analyzed by the signature registers. The measurement time of the proposed technique is smaller than conventional scan-based delay measurement. 1.5 Variable Clock Generator In addition, it can be used not only for the detection of small delay defects, but also for the debugging. Because modern chips are too huge and complex, LSI CAD tools cannot optimize the design enough. Hence, the manufactured first silicon chip usually does not meet the specification in spite of the tighter release to production (RTP) schedule. Therefore silicon debugging and design for debugging (DFD) become much more important in modern chips. Various silicon debugging technologies and DFD methods have been proposed. On-chip delay measurement provides accurate information of the delay of inside paths for the debugging of small-delay defects. In the proposed method, the clock width should be reduced continuously by a constant interval as explained later this clock operation. Therefore an on chip variable clock generator is indispensable for the proposed method. Fig -1: Variable clock generator 2. DELAY MEASUREMENT TECHNIQUE USING SIGNATURE REGISTER Basically, the proposed method is scan-based delay measurement. The difference from the basic one is the usage of the signature registers and the additional latches for the acceleration of the delay measurement. first, we assume that each flipflop has its own extra latch. The value of each flipflop is stored in the correspondent latch, and the value of each latch can be loaded to the correspondent flipflops in arbitrary timing. In the proposed measurement, the test vector is stored in these latches after scan-in operation. Once the test vector is stored in the latches, the test vector can be loaded from these latches in a clock without scan-in operation. It reduces the time for multiple sensitization of a path drastically. 2.1 Scan Flip Flop for Measurement Fig. 2 is the gate level description of the scan flipflop for the proposed measurement. The lines D, Q, and clk are the input, output, and clock lines, respectively. The lines si and so are the input and output and clock lines, respectively. The line latch is connected to an extra latch which provides the test bit of flip flop. The lines si and so and are the input and output for constructing the scan path. The input is connected to an adjacent scan flipflop or the scan input. The output is connected to of an adjacent scan flipflop or the scan output. The flipflop has two multiplexers. The lines si and latch are the inputs of the upper multiplexer controlled by se1.The output of the upper multiplexer and D are the inputs of the bottom multiplexer controlled by se0. When se0=1 and se1=1,
  • 3. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 448 the flip flop is in scan operation mode. When se0=1, se1=0, the flip flop loads the value stored in the latch connected to the latch line. Fig -2: Scan flip flop 2.2 Reconfigurable Signature Register The signature register for the proposed measurement requires the following functions to meet the demand of the proposed measurement.  Capturing the test response in arbitrary timing.  Shifting out the signature data in arbitrary timing. Figure. 3 shows the architecture of the signature register for the proposed measurement. The length of the signature register in this example is four bit. Therefore it has four flipflop FF0, FF1, FF2, FF3. The signature register can be configured to a shift register. The line sge controls the configuration. When sge=1, it works as a signature register. When sge=0, it works as a shift register. The line in is the input of the signature register. During measurement, test responses are sent to in. The line clk is clock line. The clock line is controlled by sck. When sck=0, the signature register does not capture the input value. When sck=1, the signature register captures the input value synchronizing with the positive edge of clk. By controlling sck, the signature registers capture only the target test response. Fig -3: Reconfigurable Signature Register When sge=0, this circuit is configure to the shift register. The input is sgi. The output is sgo. The measurement system requires multiple signature registers generally. The input and output are connected to the output and the input of adjacent signature registers to construct a long shift register for sending all the signature values to the external tester. 3. DELAY MEASUREMENT SYSTEM Figure. 4 shows the proposed measurement system. The proposed system consists of the low cost tester and the chip with the variable clock generator (VCG) and a BCD decoder. The chip is assumed to have single functional clock in the proposed method, and the chip has two reset lines for initializing the flipflop and the signature registers independently. The low cost tester controls the whole measurement sequence. The clock frequency tck is slower than the functional clock. The line retrieves the signature data from the signature registers to estimate the measured delay. The line sci sends the test vectors to the scan input of the chip. The line sco gets the data of the flipflop from the scan output of the chip. In the proposed measurement sequence, sco is not used. However, it is used to check the flipflop or the additional latch es before the measurement. The line cs is the clock control line. The proposed measurement uses both the slow tester clock tck and the fast double pulse generated by on-chip VCG. The line cs selects the slow and fast clock. If cs is 1, the fast clock is sent to the clk clock line of the components. Otherwise the slow tester clock tck is sent. The lines trg and cnt are the input lines for VCG. The fast double pulse is launched synchronizing with the positive edge of clk. The line scj0……..scjl-1 controls the width of the double pulse. The line controls the scan flip flops. The line controls the latches for storing test vectors. The lines are the inputs for the encoded data to control the capture operation of the signature registers. The BCD decoder decodes the encoded input data to the control data of the signature registers, the decoder is used to reduce the input lines for the control data of the signature registers. The sge is the enable signal for the signature registers. The flip flops in the chip are classified to the clusters clo……..cl(m-1). 3.1 Measurement Sequence per a Test Vector When the measurement system has m signature SIGo…SIG (m-1), m paths can be measured in parallel maximally. To reduce the measurement time we measure multiple paths simultaneously. We explain the measurement strategy using the example depicted in Fig. 5. In this example, the proposed method is applied to the circuit with six flip flops FFo- FF5. These flip flops are classified to the two clusters Clo and Cl1. the cluster Clo includes (FFo, FF1, FF2), and Cl1 includes FF3, FF4, FF5. The cluster Clo has the signature register SIGo. The cluster Cl1 has the signature register SIG1. The paths p1,p2,p3,p5 are sensitized simultaneously by the test vector ( FFo,FF1,FF2, FF3,FF4,FF5 ) = (0,0,1,0,1,1). The test response pi of is captured by FFi. The expected test response is (FFo,FF1,FF2, F3,FF4,FF5)=(1,1,0,1,0,0).The paths p1 and p2 are measured by SIG0. The paths P3 and P5
  • 4. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 449 are measured by SIG1. The combination of the two paths, one of which is selected from P1 and P2, the other of which is selected from P3 and P5, can be measured simultaneously. Fig -4: Delay Measurement System Although the four paths are sensitized by the test vector, two paths can be measured simultaneously at most. Hence, we measure the four paths divided into two measurement stages STG0 AND STG1 each of which measures two paths P1 and P5 in STG0 and P2 and P3 in STG1 simultaneously. First, the test vector is set to the flip flops with scan-in operation. After that, the values of the flip flops are set to the extra latches (a). Second, the first stage measurement is performed (b). Third, the second stage measurement is performed (c). In each stage, the paths under measurement are tested multiple times with reducing the test clock width. Steps (b) and (c) show the state just after the test execution. The flip flops hold the test response. The latches hold the test vector. After the testing, the test responses are shifted to the signature registers SIG0 and SIG1 and with the clock operation of clk . The required number of shift clocks of a stage is the maximum number of the shift clocks among the paths measured simultaneously in the stage. For example, in STG0, two clocks are required to send the test response of P1 to STG1, while one clock is required to send the test response of P5 to SIG1. To capture only the target test response value, the control bit sequences are sent to sck0 and sck1. In SIG0, the test response of P1 is captured to FF1. Therefore SIG0 should capture the value two clocks later. This operation is realized by sending the bit sequence “01” to sck0 synchronizing to clk . To capture the test result of p5, SIG1 should capture the value one clock later. This operation is realized by sending the bit sequence “10” to synchronizing sck1to the clk in STG0. In STG1, the test response of P2 is captured by sending the bit sequence “100” to sck0, and the test response of P3 is captured by sending the bit sequence “001” to sck1, respectively. (a) (b) (c) Fig -5: Measurement of Paths Sensitized in a Test Vector in Parallel. Fi-6 shows the timing chart of this operation. The low cost tester controls the whole measurement sequence. For the measurement both VCG clock and tester clock are used. The clock selection is controlled by cs. The trigger signal trg and the control signal cnt is provided to VCG. The control data cnt is updated after each testing operation. In STGo, STG1 captures the test response in the second shift-out clock. Therefore sck0 turns to 1 synchronizing with the negative edge of the first clock of the shift-out operation.The latch
  • 5. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 450 clock lck captures the values of the flip flops just after the scan-in operation is finished. The se0, se1, se2 are controlled as explained previously Fig -6: Timing Chart of the Sequence of Fig-5 3.2 Whole Measurement Sequence Here, we assume that the test set for the measurement TS has N TV test vectors tv0, tv1,...tvNTV-1. The number of the stages of tvi is Nst (i) . Before measurement, we have to check if the flip flops, the latches, and the clock generator work correctly by applying test vectors or other checking methods. After that, the following measurement sequence is executed. Step 1: Initialize the variable i=0. Step 2: If I is equal to Ntv, finish, otherwise initialize the variable j to 0, and set tvi to the flipflop with scan-in operation. Step 3: Send the values of the flipflop to the latches. Step 4: The paths included in STGj are measured Simultaneously. After that is updated to (j+1). Step 5: If j is equal to Nst (i, go to Step 6, otherwise load the test vector from the latches to flip flops, and go to Step 4. Step 6: I is updated to (i+1) , and go to Step 2. 3.3 Tester Channel Reduction If sck of each signature register is directly fed to the input of the chip, it requires the same number of the extra inputs as the of the signature registers. It increases tester channel width. To keep the tester channel width short, we use the BCD decoder as depicted in Fig. 6. The decoder circuit transforms n bit binary code to the corresponding 2^n width decimal code. For example, when n=2, scj0scj1=01,the corresponding decimal code is sck0sck1sck2sck3=0100. We explain how to encode the sck bit sequences to the corresponding binary code scj with the example depicted in fig 9.This example consists of three clusters cl0, cl1,and cl2. Each cluster has three flip flops. Consider the case that the test response of the sensitized flip.paths captured in FF01, FF12, and FF20.In the shift out operation after a testing, the test response of FF01 is captured by SIG0 two clocks later. Therefore the bit sequence “010” should be sent to sck0.the test response of FF12 is captured by SIG1 one clock later. Therefore the bit sequence “100” should be sent to sck1.The test response of FF20 is captured by SIG2 three clock later. Therefore the bit sequence “001” should be sent to sck2.each bit value of these bit sequences is grouped. the group of the 0th bit values is sck0sck1sck2=010.those of the first bit values and second bit values are sck0sck1sck2=100, sck0sck1sck2=001,respectively. We call each group slice. Here, sli represents the slice of the ith bit .Finally, these decimal codes are transformed into the corresponding binary code .The 0th slice sl0 “010”is transferred to”01” The 1st slice sl1 “100”is transferred to”10” the 2nd slice sl2 “001”is transferred to”11”. Fig -7 Encoding the Output Data of BCD Decoder to the Input Data of BCD Decoder As a result, the bit width of the data is reduced from 3 bit to 2 bit by this transformation. Generally, the width of the slice of sck is n, the width of encoded slice of scj is [log2n] .However, for the encoding, each slice is permitted only 1 bit with the value 1. More than two bits with value 1 is not permitted. This restriction puts the constrain on ATPG for the generation of the test set for the measurement.
  • 6. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 451 4. EXPERIMENTAL RESULTS In this section, on-chip path delay under different process variations will be simulated and measured. During the simulation we measure the delay of each path under test. Random faults were injected in circuits to generate erroneous data. Random input patterns were applied to the ISCAS-89 benchmark circuits and compared with proposed method. The length of the signature register is 8 bit. The test set consists of test vectors which detects all single-path sensitizable transition faults. The paths sensitized by these test vectors are measured. The measurement time using the proposed scan design and is calculated by Tsig=time required for {whole scan-in + double pulse + SIG data} Table –I: Measurement time Circuit EMB Tsig Rt S5378 635.4 181.2 71.5 S9234 703.1 135.5 80.7 S38584 4025 1011.5 72.6 Ave 74 Table -II: Comparison With Delay Measurement Using Embedded Delay Measurement Circuit Circuit EMB SIG R S5378 46.7 181.2 3.9 S9234 164.5 135.5 0.8 Ave 2.3 The proposed method is compared with the delay measurement with conventional scan design, namely standard scan design and enhanced scan design. The length of the cluster size is restricted to 32. The measurement time is shown in Table I and this method is compares with delay measurement using embedded delay measurement circuit shown in Table II. The Device utilization summary for the delay measurement technique is shown in Table III. Table –III: Device Utilization Summary Logic Utilization Used Available Utilization Total number of slice register 43 7168 1% Number Used as Flip Flops 31 Number Used as Latches 12 Number of 4 input LUTs 47 7168 1% Number of occupied Slices 47 3584 1% Number of Slices containing only related logic 47 47 100% Number of Slices containing only unrelated logic 0 47 0% Total Number of $ input LUTs 47 7168 1% Number of bonded IOBs 13 141 9% Number of BUFGMUXs 3 8 37% Average Fanout of Non-Clock Nets 2.76 CONCLUSIONS We have presented a measurement technique, based on signature analysis, for screening small-delay defects and we also generated an on-chip variable clock generator for double pulse width and latches for short measurement time in scan design and compared with standard scan design. A future work is extension of cluster and implementation of FPGA with low cost application. ACKNOWLEDGEMENTS I sincerely acknowledge in all earnestness, the patronage provided by our Director Dr. C. Muthamizhchelvan, Engineering & Technology, to endeavour this project and I wish to express my deep sense of gratitude and sincere thanks to our Professor and Head of the Department Dr. S. Malarvizhi, for her encouragement, timely help and advice offered to me. I am very grateful to my guide Mrs.K.Suganthi, who has guided with inspiring dedication, untiring efforts and tremendous enthusiasm in making this project successful and presentable and I extend my gratitude and heart full thanks to all the staff and non-teaching staff of Electronics and Communication Department and to my parents and friends, who extended their kind co-operation by means of valuable suggestions and timely help during the course of this project work. REFERENCES: [1] An On-Chip Delay Measurement Technique Using Signature registers for Small-Delay Defect
  • 7. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 452 Detectionkentaroh Katoh, Member, IEEE, Kazuteru Namba, Member, IEEE, and Hideo Ito, Member, IEEE. [2] N. Ahmed and M. Tehranipoor, Nanometer Technology Designs: High Quality Delay Tests.New York: Springer, 2007. [3] K. Noguchi, K. Nose, T. Ono, and M. Mizuno, “A small- delay defect detection technique for dependable LSIs,” in Proc. IEEE Symp. VLSI Circuits, 2008, pp. 64–65. [4] Dervisoglu and G. E. Stong, “Design for testability: Using scan-path techniques for path-delay test and measurement,” in Proc. IEEE Int. Test Conf. (ITC), 1991, pp. 365–374. [5] K. Noguchi, K. Nose, T. Ono, and M. Mizuno, “An area reduction technique of self-testing FFs for small-delay defects detection,” The Inst. Electron., Inf. Common. Eng. (IEICE), Tech. Rep. DC2009-16,2009. [6] J. Lee and E. J. McCluskey, “Failing frequency signature analysis,” in Proc. Int. Test Conf. (ITC), 2008, pp. 1–8. [7] T.-Y. Li, S.-Y. Huang, H.-J. Hsu, C.-W. Tzeng, C.-T. Huang, J.-J. Liou, H.-P. Ma, P.-C. Huang, J.-C. Bor, and C.-W. Wu, “Af test: Adaptive-frequency scan test methodology for small-delay defects,” in Proc. IEEE Int. Symp. Defect Fault Toler. VLSI Syst. (DFT), 2010, pp. 340–348. [8] Xilinx, San Jose, CA, “Virtex-5 user guide,” 2010. [Online]. Available: www.xilinx.com. [9] J.-S. Yang and N. A. Touba, “Enhancing silicon debug via periodic monitoring,” in Proc IEEE Int. Symp. Defect Fault Toler. (DFT), 2008, pp. 125-133. [10]B. Kruseman, A. K. Majhi, G. Gronthoud, and S. Eichenberger, ”On hazard-free patterns for fine-delay fault testing,” in Proc. Int. Test Conf. (ITC), 2004, pp. 213-222. BIOGRAPHIES: S. Prasanna was born in Salem, India, in 1991. He received B.E from Dr. Mahalingam College of Engineering and Technology, coimbatore, in 2007 and doing M.Tech in VLSI Design from SRM University, Chennai, India. His research interests, include Digital design, Testing of VLSI Circuits. K. Suganthi was born in Chennai, India, in 1981. She received B.E from Jerusalem College of engineering, Chennai, in 2003 and M.Tech in VLSI Design from SRM University, Chennai, in 2007, India. Her research interests, include Digital design, VLSI design, innovative devices and low power VLSI. Currently working as an Assistant Professor, Department of Electronics & Communication Engineering, SRM University.