SlideShare a Scribd company logo
03/10/2020 Hybrid Memory Cube - Wikipedia
https://en.wikipedia.org/wiki/Hybrid_Memory_Cube 1/4
Hybrid Memory Cube
Hybrid Memory Cube (HMC) is a high-performance RAM interface for through-silicon vias (TSV)-based
stacked DRAM memory competing with the incompatible rival interface High Bandwidth Memory (HBM).
Overview
See also
References
External links
Hybrid Memory Cube was co-developed by Samsung Electronics and Micron Technology in 2011,[1] and
announced by Micron in September 2011.[2]. It promised a 15 times speed improvement over DDR3.[3] The
Hybrid Memory Cube Consortium (HMCC) is backed by several major technology companies including
Samsung, Micron Technology, Open-Silicon, ARM, HP (since withdrawn), Microsoft (since withdrawn),
Altera (acquired by Intel in late 2015), and Xilinx.[4][5] Micron, while continuing to support HMCC, is
discontinuing the HMC product [6] in 2018 when it failed to achieve market adoption.
HMC combines through-silicon vias (TSV) and microbumps to connect multiple (currently 4 to 8) dies of
memory cell arrays on top of each other.[7] The memory controller is integrated as a separate die.[2]
HMC uses standard DRAM cells but it has more data banks than classic DRAM memory of the same size. The
HMC interface is incompatible with current DDRn (DDR2 or DDR3) and competing High Bandwidth Memory
implementations.[8]
HMC technology won the Best New Technology award from The Linley Group (publisher of Microprocessor
Report magazine) in 2011.[9][10]
The first public specification, HMC 1.0, was published in April 2013.[11] According to it, the HMC uses 16-
lane or 8-lane (half size) full-duplex differential serial links, with each lane having 10, 12.5 or 15 Gbit/s
SerDes.[12] Each HMC package is named a cube, and they can be chained in a network of up to 8 cubes with
cube-to-cube links and some cubes using their links as pass-through links.[13] A typical cube package with 4
links has 896 BGA pins and a size of 31×31×3.8 millimeters.[14]
The typical raw bandwidth of a single 16-lane link with 10 Gbit/s signalling implies a total bandwidth of all 16
lanes of 40 GB/s (20 GB/s transmit and 20 GB/s receive); cubes with 4 and 8 links are planned, though the
HMC 1.0 spec limits link speed to 10 Gbit/s in the 8-link case. Therefore, a 4-link cube can reach 240 GB/s
memory bandwidth (120 GB/s each direction using 15 Gbit/s SerDes), while an 8-link cube can reach 320 GB/s
bandwidth (160 GB/s each direction using 10 Gbit/s SerDes).[15] Effective memory bandwidth utilization varies
from 33% to 50% for smallest packets of 32 bytes; and from 45% to 85% for 128 byte packets.[7]
As reported at the HotChips 23 conference in 2011, the first generation of HMC demonstration cubes with four
50 nm DRAM memory dies and one 90 nm logic die with total capacity of 512 MB and size 27×27 mm had
power consumption of 11 W and was powered with 1.2 V.[7]
Contents
Overview
03/10/2020 Hybrid Memory Cube - Wikipedia
https://en.wikipedia.org/wiki/Hybrid_Memory_Cube 2/4
Engineering samples of second generation HMC memory chips were shipped in September 2013 by Micron.[3]
Samples of 2 GB HMC (stack of 4 memory dies, each of 4 Gbit) are packed in a 31×31 mm package and have
4 HMC links. Other samples from 2013 have only two HMC links and a smaller package: 16×19.5 mm.[16]
The second version of the HMC specification was published on 18 November 2014 by HMCC.[17] HMC2
offers a variety of SerDes rates ranging from 12.5 Gbit/s to 30 Gbit/s, yielding an aggregate link bandwidth of
480 GB/s (240 GB/s each direction), though promising only a total DRAM bandwidth of 320 GB/sec.[18] A
package may have either 2 or 4 links (down from the 4 or 8 in HMC1), and a quarter-width option is added
using 4 lanes.
The first processor to use HMCs was the Fujitsu SPARC64 XIfx,[19] which is used in the Fujitsu PRIMEHPC
FX100 supercomputer introduced in 2015.
JEDEC's Wide I/O and Wide I/O 2 are seen as the mobile computing counterparts to the desktop/server-
oriented HMC in that both involve 3D die stacks.[20]
In August 2018, Micron announced a move away from HMC to pursue competing high-performance memory
technologies such as GDDR6 and HBM.[21]
MCDRAM
Memristor
Stacked DRAM
Chip stack multi-chip modules
High Bandwidth Memory (HBM), developed by AMD and Hynix, used in AMD's Fiji, and Nvidia's
Pascal
1. Kada, Morihiro (2015). "Research and Development History of Three-Dimensional Integration
Technology" (https://onecellonelightradio.files.wordpress.com/2018/11/three-dimensional-integrati
on-of-semiconductors-2015.pdf) (PDF). Three-Dimensional Integration of Semiconductors:
Processing, Materials, and Applications. Springer. pp. 15–6. ISBN 9783319186757.
2. Micron Reinvents DRAM Memory (http://www.linleygroup.com/newsletters/newsletter_detail.php?
num=4744&year=2011&tag=3), Linley Group, Jag Bolaria, 12 September 2011
3. Mearian, Lucas (25 September 2013). "Micron ships Hybrid Memory Cube that boosts DRAM
15X" (http://www.computerworld.com/article/2485092/data-center/micron-ships-hybrid-memory-cu
be-that-boosts-dram-15x.html). computerworld.com. Computerworld. Retrieved 4 November
2014.
4. Microsoft backs Hybrid Memory Cube tech (http://www.bit-tech.net/news/hardware/2012/05/09/mi
crosoft-hmc-tech/1) // by Gareth Halfacree, bit-tech, 9 May 2012
5. "About Us" (https://web.archive.org/web/20111010021215/http://hybridmemorycube.org/about.ht
ml). Hybrid Memory Cube Consortium. Archived from the original (http://hybridmemorycube.org/a
bout.html) on 10 October 2011. Retrieved 10 October 2011.
6. "FAQs" (https://www.micron.com/support/faqs). www.micron.com. Retrieved 5 December 2018.
7. Hybrid Memory Cube (HMC), J. Thomas Pawlowski (Micron) // HotChips 23
8. Memory for Exascale and ... Micron's new memory component is called HMC: Hybrid Memory
Cube (http://www.orau.gov/archI2011/presentations/resnickd02.pdf) Archived (https://web.archiv
e.org/web/20120417011537/http://www.orau.gov/archI2011/presentations/resnickd02.pdf) 17 April
2012 at the Wayback Machine by Dave Resnick (Sandia National Laboratories) // 2011 Workshop
on Architectures I: Exascale and Beyond, 8 July 2011
See also
References
03/10/2020 Hybrid Memory Cube - Wikipedia
https://en.wikipedia.org/wiki/Hybrid_Memory_Cube 3/4
Official website of the Hybrid Memory Cube Consortium (http://hybridmemorycube.org)
HMC 1.0 Specification (https://web.archive.org/web/20130513053443/http://www.hybridmemoryc
ube.org/files/SiteDownloads/HMC_Specification%201_0.pdf)
HMC 2.0 Specification download form (http://hybridmemorycube.org/specification-v2-download-fo
rm/)
Revolutionary Advancements in Memory Performance (https://www.youtube.com/watch?v=h2swE
qw6pbg) on YouTube
Hybrid Memory Cube (HMC) (http://www.hotchips.org/wp-content/uploads/hc_archives/hc23/HC2
3.18.3-memory-FPGA/HC23.18.320-HybridCube-Pawlowski-Micron.pdf), J. Thomas Pawlowski
(Micron) // HotChips 23, 2011
Stacking Stairs Against the Memory Wall (http://hybridmemorycube.org/files/SiteDownloads/2013
0402_HPCwire_%20Stacking%20Stairs%20Against%20the%20Memory%20Wall.pdf) by Nicole
Hemsoth // HPC Wire, 2 April 2013
Retrieved from "https://en.wikipedia.org/w/index.php?title=Hybrid_Memory_Cube&oldid=946342318"
This page was last edited on 19 March 2020, at 15:49 (UTC).
9. Micron's Hybrid Memory Cubes win tech award (http://www.bit-tech.net/news/hardware/2012/01/2
7/micron-hmc-win-award/1) // by Gareth Halfacree, bit-tech, 27 January 2012
10. Best Processor Technology of 2011 (http://www.linleygroup.com/newsletters/newsletter_detail.ph
p?num=4789) // The Linley Group, Tom Halfhill, 23 Jan 2012
11. Hybrid Memory Cube receives its finished spec, promises up to 320GB per second (https://www.e
ngadget.com/2013/04/03/hybrid-memory-cube-receives-its-finished-spec/) By Jon Fingas //
Engadget, 3 April 2013
12. HMC 1.0 Specification, Chapter "1 HMC Architecture"
13. HMC 1.0 Specification, Chapter "5 Chaining"
14. HMC 1.0 Specification, Chapter "19 Packages for HMC-15G-SR Devices"
15. "Hybrid Memory Cube Specification 1.0" (https://web.archive.org/web/20130513053443/http://ww
w.hybridmemorycube.org/files/SiteDownloads/HMC_Specification%201_0.pdf) (PDF). HMC
Consortium. 1 January 2013. Archived from the original (http://hybridmemorycube.org/files/SiteDo
wnloads/HMC_Specification%201_0.pdf) (PDF) on 13 May 2013. Retrieved 10 August 2016.
16. Hruska, Joel (25 September 2013). "Hybrid Memory Cube 160GB/sec RAM starts shipping: Is
this the technology that finally kills DDR RAM?" (http://www.extremetech.com/computing/167368-
hybrid-memory-cube-160gbsec-ram-starts-shipping-is-this-the-technology-that-finally-kills-ddr-ra
m). Extreme Tech. Retrieved 27 September 2013.
17. Hybrid Memory Cube Consortium Advances Hybrid Memory Cube Performance and Industry
Adoption With Release of New Specification (http://hybridmemorycube.org/files/SiteDownloads/2
0141119_HMCC_Spec2.0Release.pdf), 18 November 2014
18. "Hybrid Memory Cube Specification 2.1" (http://hybridmemorycube.org/files/SiteDownloads/HMC-
30G-VSR_HMCC_Specification_Rev2.1_20151105.pdf) (PDF). HMC Consortium. 5 November
2015. Retrieved 10 August 2016.
19. Halfhill, Tom R. (22 September 2014). "Sparc64 XIfx Uses Memory Cubes". Microprocessor
Report.
20. Goering, Richard (6 August 2013). "Wide I/O 2, Hybrid Memory Cube (HMC) – Memory Models
Advance 3D-IC Standards" (http://community.cadence.com/cadence_blogs_8/b/ii/archive/2013/0
8/06/wide-i-o-2-hybrid-memory-cube-hmc-memory-models-advance-3d-ic-standards).
cadence.com. Cadence Design Systems. Retrieved 8 December 2014.
21. https://www.micron.com/about/blog/2018/august/micron-announces-shift-in-high-performance-
memory-roadmap-strategy
External links
03/10/2020 Hybrid Memory Cube - Wikipedia
https://en.wikipedia.org/wiki/Hybrid_Memory_Cube 4/4
Text is available under the Creative Commons Attribution-ShareAlike License; additional terms may apply. By using this
site, you agree to the Terms of Use and Privacy Policy. Wikipedia® is a registered trademark of the Wikimedia
Foundation, Inc., a non-profit organization.

More Related Content

Similar to Hybrid Memory Cube : Notes

GENERIC SOPC PLATFORM FOR VIDEO INTERACTIVE SYSTEM WITH MPMC CONTROLLER
GENERIC SOPC PLATFORM FOR VIDEO INTERACTIVE SYSTEM WITH MPMC CONTROLLERGENERIC SOPC PLATFORM FOR VIDEO INTERACTIVE SYSTEM WITH MPMC CONTROLLER
GENERIC SOPC PLATFORM FOR VIDEO INTERACTIVE SYSTEM WITH MPMC CONTROLLER
ijesajournal
 
Storage Geeks 101 - 2019
Storage Geeks 101 - 2019Storage Geeks 101 - 2019
Storage Geeks 101 - 2019
Andrew McGee
 
Holographic data storage technolohy
Holographic data storage technolohyHolographic data storage technolohy
Holographic data storage technolohy
Sanjay Dhritlahare
 
Hard disk drive
Hard disk driveHard disk drive
Data storage
Data storageData storage
Data storage
MuruganandamC3
 
Presentation 14 che-section-b(1)
Presentation 14 che-section-b(1)Presentation 14 che-section-b(1)
Presentation 14 che-section-b(1)
NFC IEFR Faisalabad
 
WN Memory Tiering WP Mar2023.pdf
WN Memory Tiering WP Mar2023.pdfWN Memory Tiering WP Mar2023.pdf
WN Memory Tiering WP Mar2023.pdf
RochanSankar1
 
NVMe over Fibre Channel Introduction
NVMe over Fibre Channel IntroductionNVMe over Fibre Channel Introduction
NVMe over Fibre Channel Introduction
Calvin Zito
 
DDR3 SDRAM : Notes
DDR3 SDRAM : NotesDDR3 SDRAM : Notes
DDR3 SDRAM : Notes
Subhajit Sahu
 
LCE13: Android Graphics Upstreaming
LCE13: Android Graphics UpstreamingLCE13: Android Graphics Upstreaming
LCE13: Android Graphics Upstreaming
Linaro
 
multi-core Processor.ppt for IGCSE ICT and Computer Science Students
multi-core Processor.ppt for IGCSE ICT and Computer Science Studentsmulti-core Processor.ppt for IGCSE ICT and Computer Science Students
multi-core Processor.ppt for IGCSE ICT and Computer Science Students
MKKhaing
 
Storage devices used in cmp13to14
Storage devices used in cmp13to14Storage devices used in cmp13to14
Storage devices used in cmp13to14
myrajendra
 
Distributed parallel architecture for big data
Distributed parallel architecture for big dataDistributed parallel architecture for big data
Distributed parallel architecture for big data
kamicool13
 
Quarter ii css 9
Quarter ii css 9Quarter ii css 9
Quarter ii css 9
Rechieboy Corcelles
 
UNIT 3 Memory Design for SOC.ppUNIT 3 Memory Design for SOC.pptx
UNIT 3 Memory Design for SOC.ppUNIT 3 Memory Design for SOC.pptxUNIT 3 Memory Design for SOC.ppUNIT 3 Memory Design for SOC.pptx
UNIT 3 Memory Design for SOC.ppUNIT 3 Memory Design for SOC.pptx
SnehaLatha68
 
Next Generation Storage Networking for Next Generation Data Centers
Next Generation Storage Networking for Next Generation Data CentersNext Generation Storage Networking for Next Generation Data Centers
Next Generation Storage Networking for Next Generation Data Centers
TheFibreChannel
 
Flexible and Scalable Domain-Specific Architectures
Flexible and Scalable Domain-Specific ArchitecturesFlexible and Scalable Domain-Specific Architectures
Flexible and Scalable Domain-Specific Architectures
Netronome
 
Sigman North Ltd Bright Talk June13th Nigel Wakefield Storage Predictions Vs ...
Sigman North Ltd Bright Talk June13th Nigel Wakefield Storage Predictions Vs ...Sigman North Ltd Bright Talk June13th Nigel Wakefield Storage Predictions Vs ...
Sigman North Ltd Bright Talk June13th Nigel Wakefield Storage Predictions Vs ...
nigelwakefield
 
Kernel Recipes 2018 - Overview of SD/eMMC, their high speed modes and Linux s...
Kernel Recipes 2018 - Overview of SD/eMMC, their high speed modes and Linux s...Kernel Recipes 2018 - Overview of SD/eMMC, their high speed modes and Linux s...
Kernel Recipes 2018 - Overview of SD/eMMC, their high speed modes and Linux s...
Anne Nicolas
 
Q1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXL
Q1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXLQ1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXL
Q1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXL
Memory Fabric Forum
 

Similar to Hybrid Memory Cube : Notes (20)

GENERIC SOPC PLATFORM FOR VIDEO INTERACTIVE SYSTEM WITH MPMC CONTROLLER
GENERIC SOPC PLATFORM FOR VIDEO INTERACTIVE SYSTEM WITH MPMC CONTROLLERGENERIC SOPC PLATFORM FOR VIDEO INTERACTIVE SYSTEM WITH MPMC CONTROLLER
GENERIC SOPC PLATFORM FOR VIDEO INTERACTIVE SYSTEM WITH MPMC CONTROLLER
 
Storage Geeks 101 - 2019
Storage Geeks 101 - 2019Storage Geeks 101 - 2019
Storage Geeks 101 - 2019
 
Holographic data storage technolohy
Holographic data storage technolohyHolographic data storage technolohy
Holographic data storage technolohy
 
Hard disk drive
Hard disk driveHard disk drive
Hard disk drive
 
Data storage
Data storageData storage
Data storage
 
Presentation 14 che-section-b(1)
Presentation 14 che-section-b(1)Presentation 14 che-section-b(1)
Presentation 14 che-section-b(1)
 
WN Memory Tiering WP Mar2023.pdf
WN Memory Tiering WP Mar2023.pdfWN Memory Tiering WP Mar2023.pdf
WN Memory Tiering WP Mar2023.pdf
 
NVMe over Fibre Channel Introduction
NVMe over Fibre Channel IntroductionNVMe over Fibre Channel Introduction
NVMe over Fibre Channel Introduction
 
DDR3 SDRAM : Notes
DDR3 SDRAM : NotesDDR3 SDRAM : Notes
DDR3 SDRAM : Notes
 
LCE13: Android Graphics Upstreaming
LCE13: Android Graphics UpstreamingLCE13: Android Graphics Upstreaming
LCE13: Android Graphics Upstreaming
 
multi-core Processor.ppt for IGCSE ICT and Computer Science Students
multi-core Processor.ppt for IGCSE ICT and Computer Science Studentsmulti-core Processor.ppt for IGCSE ICT and Computer Science Students
multi-core Processor.ppt for IGCSE ICT and Computer Science Students
 
Storage devices used in cmp13to14
Storage devices used in cmp13to14Storage devices used in cmp13to14
Storage devices used in cmp13to14
 
Distributed parallel architecture for big data
Distributed parallel architecture for big dataDistributed parallel architecture for big data
Distributed parallel architecture for big data
 
Quarter ii css 9
Quarter ii css 9Quarter ii css 9
Quarter ii css 9
 
UNIT 3 Memory Design for SOC.ppUNIT 3 Memory Design for SOC.pptx
UNIT 3 Memory Design for SOC.ppUNIT 3 Memory Design for SOC.pptxUNIT 3 Memory Design for SOC.ppUNIT 3 Memory Design for SOC.pptx
UNIT 3 Memory Design for SOC.ppUNIT 3 Memory Design for SOC.pptx
 
Next Generation Storage Networking for Next Generation Data Centers
Next Generation Storage Networking for Next Generation Data CentersNext Generation Storage Networking for Next Generation Data Centers
Next Generation Storage Networking for Next Generation Data Centers
 
Flexible and Scalable Domain-Specific Architectures
Flexible and Scalable Domain-Specific ArchitecturesFlexible and Scalable Domain-Specific Architectures
Flexible and Scalable Domain-Specific Architectures
 
Sigman North Ltd Bright Talk June13th Nigel Wakefield Storage Predictions Vs ...
Sigman North Ltd Bright Talk June13th Nigel Wakefield Storage Predictions Vs ...Sigman North Ltd Bright Talk June13th Nigel Wakefield Storage Predictions Vs ...
Sigman North Ltd Bright Talk June13th Nigel Wakefield Storage Predictions Vs ...
 
Kernel Recipes 2018 - Overview of SD/eMMC, their high speed modes and Linux s...
Kernel Recipes 2018 - Overview of SD/eMMC, their high speed modes and Linux s...Kernel Recipes 2018 - Overview of SD/eMMC, their high speed modes and Linux s...
Kernel Recipes 2018 - Overview of SD/eMMC, their high speed modes and Linux s...
 
Q1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXL
Q1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXLQ1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXL
Q1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXL
 

More from Subhajit Sahu

About TrueTime, Spanner, Clock synchronization, CAP theorem, Two-phase lockin...
About TrueTime, Spanner, Clock synchronization, CAP theorem, Two-phase lockin...About TrueTime, Spanner, Clock synchronization, CAP theorem, Two-phase lockin...
About TrueTime, Spanner, Clock synchronization, CAP theorem, Two-phase lockin...
Subhajit Sahu
 
Levelwise PageRank with Loop-Based Dead End Handling Strategy : SHORT REPORT ...
Levelwise PageRank with Loop-Based Dead End Handling Strategy : SHORT REPORT ...Levelwise PageRank with Loop-Based Dead End Handling Strategy : SHORT REPORT ...
Levelwise PageRank with Loop-Based Dead End Handling Strategy : SHORT REPORT ...
Subhajit Sahu
 
Adjusting Bitset for graph : SHORT REPORT / NOTES
Adjusting Bitset for graph : SHORT REPORT / NOTESAdjusting Bitset for graph : SHORT REPORT / NOTES
Adjusting Bitset for graph : SHORT REPORT / NOTES
Subhajit Sahu
 
Algorithmic optimizations for Dynamic Levelwise PageRank (from STICD) : SHORT...
Algorithmic optimizations for Dynamic Levelwise PageRank (from STICD) : SHORT...Algorithmic optimizations for Dynamic Levelwise PageRank (from STICD) : SHORT...
Algorithmic optimizations for Dynamic Levelwise PageRank (from STICD) : SHORT...
Subhajit Sahu
 
Adjusting primitives for graph : SHORT REPORT / NOTES
Adjusting primitives for graph : SHORT REPORT / NOTESAdjusting primitives for graph : SHORT REPORT / NOTES
Adjusting primitives for graph : SHORT REPORT / NOTES
Subhajit Sahu
 
Experiments with Primitive operations : SHORT REPORT / NOTES
Experiments with Primitive operations : SHORT REPORT / NOTESExperiments with Primitive operations : SHORT REPORT / NOTES
Experiments with Primitive operations : SHORT REPORT / NOTES
Subhajit Sahu
 
PageRank Experiments : SHORT REPORT / NOTES
PageRank Experiments : SHORT REPORT / NOTESPageRank Experiments : SHORT REPORT / NOTES
PageRank Experiments : SHORT REPORT / NOTES
Subhajit Sahu
 
Algorithmic optimizations for Dynamic Monolithic PageRank (from STICD) : SHOR...
Algorithmic optimizations for Dynamic Monolithic PageRank (from STICD) : SHOR...Algorithmic optimizations for Dynamic Monolithic PageRank (from STICD) : SHOR...
Algorithmic optimizations for Dynamic Monolithic PageRank (from STICD) : SHOR...
Subhajit Sahu
 
Adjusting OpenMP PageRank : SHORT REPORT / NOTES
Adjusting OpenMP PageRank : SHORT REPORT / NOTESAdjusting OpenMP PageRank : SHORT REPORT / NOTES
Adjusting OpenMP PageRank : SHORT REPORT / NOTES
Subhajit Sahu
 
word2vec, node2vec, graph2vec, X2vec: Towards a Theory of Vector Embeddings o...
word2vec, node2vec, graph2vec, X2vec: Towards a Theory of Vector Embeddings o...word2vec, node2vec, graph2vec, X2vec: Towards a Theory of Vector Embeddings o...
word2vec, node2vec, graph2vec, X2vec: Towards a Theory of Vector Embeddings o...
Subhajit Sahu
 
DyGraph: A Dynamic Graph Generator and Benchmark Suite : NOTES
DyGraph: A Dynamic Graph Generator and Benchmark Suite : NOTESDyGraph: A Dynamic Graph Generator and Benchmark Suite : NOTES
DyGraph: A Dynamic Graph Generator and Benchmark Suite : NOTES
Subhajit Sahu
 
Shared memory Parallelism (NOTES)
Shared memory Parallelism (NOTES)Shared memory Parallelism (NOTES)
Shared memory Parallelism (NOTES)
Subhajit Sahu
 
A Dynamic Algorithm for Local Community Detection in Graphs : NOTES
A Dynamic Algorithm for Local Community Detection in Graphs : NOTESA Dynamic Algorithm for Local Community Detection in Graphs : NOTES
A Dynamic Algorithm for Local Community Detection in Graphs : NOTES
Subhajit Sahu
 
Scalable Static and Dynamic Community Detection Using Grappolo : NOTES
Scalable Static and Dynamic Community Detection Using Grappolo : NOTESScalable Static and Dynamic Community Detection Using Grappolo : NOTES
Scalable Static and Dynamic Community Detection Using Grappolo : NOTES
Subhajit Sahu
 
Application Areas of Community Detection: A Review : NOTES
Application Areas of Community Detection: A Review : NOTESApplication Areas of Community Detection: A Review : NOTES
Application Areas of Community Detection: A Review : NOTES
Subhajit Sahu
 
Community Detection on the GPU : NOTES
Community Detection on the GPU : NOTESCommunity Detection on the GPU : NOTES
Community Detection on the GPU : NOTES
Subhajit Sahu
 
Survey for extra-child-process package : NOTES
Survey for extra-child-process package : NOTESSurvey for extra-child-process package : NOTES
Survey for extra-child-process package : NOTES
Subhajit Sahu
 
Dynamic Batch Parallel Algorithms for Updating PageRank : POSTER
Dynamic Batch Parallel Algorithms for Updating PageRank : POSTERDynamic Batch Parallel Algorithms for Updating PageRank : POSTER
Dynamic Batch Parallel Algorithms for Updating PageRank : POSTER
Subhajit Sahu
 
Abstract for IPDPS 2022 PhD Forum on Dynamic Batch Parallel Algorithms for Up...
Abstract for IPDPS 2022 PhD Forum on Dynamic Batch Parallel Algorithms for Up...Abstract for IPDPS 2022 PhD Forum on Dynamic Batch Parallel Algorithms for Up...
Abstract for IPDPS 2022 PhD Forum on Dynamic Batch Parallel Algorithms for Up...
Subhajit Sahu
 
Fast Incremental Community Detection on Dynamic Graphs : NOTES
Fast Incremental Community Detection on Dynamic Graphs : NOTESFast Incremental Community Detection on Dynamic Graphs : NOTES
Fast Incremental Community Detection on Dynamic Graphs : NOTES
Subhajit Sahu
 

More from Subhajit Sahu (20)

About TrueTime, Spanner, Clock synchronization, CAP theorem, Two-phase lockin...
About TrueTime, Spanner, Clock synchronization, CAP theorem, Two-phase lockin...About TrueTime, Spanner, Clock synchronization, CAP theorem, Two-phase lockin...
About TrueTime, Spanner, Clock synchronization, CAP theorem, Two-phase lockin...
 
Levelwise PageRank with Loop-Based Dead End Handling Strategy : SHORT REPORT ...
Levelwise PageRank with Loop-Based Dead End Handling Strategy : SHORT REPORT ...Levelwise PageRank with Loop-Based Dead End Handling Strategy : SHORT REPORT ...
Levelwise PageRank with Loop-Based Dead End Handling Strategy : SHORT REPORT ...
 
Adjusting Bitset for graph : SHORT REPORT / NOTES
Adjusting Bitset for graph : SHORT REPORT / NOTESAdjusting Bitset for graph : SHORT REPORT / NOTES
Adjusting Bitset for graph : SHORT REPORT / NOTES
 
Algorithmic optimizations for Dynamic Levelwise PageRank (from STICD) : SHORT...
Algorithmic optimizations for Dynamic Levelwise PageRank (from STICD) : SHORT...Algorithmic optimizations for Dynamic Levelwise PageRank (from STICD) : SHORT...
Algorithmic optimizations for Dynamic Levelwise PageRank (from STICD) : SHORT...
 
Adjusting primitives for graph : SHORT REPORT / NOTES
Adjusting primitives for graph : SHORT REPORT / NOTESAdjusting primitives for graph : SHORT REPORT / NOTES
Adjusting primitives for graph : SHORT REPORT / NOTES
 
Experiments with Primitive operations : SHORT REPORT / NOTES
Experiments with Primitive operations : SHORT REPORT / NOTESExperiments with Primitive operations : SHORT REPORT / NOTES
Experiments with Primitive operations : SHORT REPORT / NOTES
 
PageRank Experiments : SHORT REPORT / NOTES
PageRank Experiments : SHORT REPORT / NOTESPageRank Experiments : SHORT REPORT / NOTES
PageRank Experiments : SHORT REPORT / NOTES
 
Algorithmic optimizations for Dynamic Monolithic PageRank (from STICD) : SHOR...
Algorithmic optimizations for Dynamic Monolithic PageRank (from STICD) : SHOR...Algorithmic optimizations for Dynamic Monolithic PageRank (from STICD) : SHOR...
Algorithmic optimizations for Dynamic Monolithic PageRank (from STICD) : SHOR...
 
Adjusting OpenMP PageRank : SHORT REPORT / NOTES
Adjusting OpenMP PageRank : SHORT REPORT / NOTESAdjusting OpenMP PageRank : SHORT REPORT / NOTES
Adjusting OpenMP PageRank : SHORT REPORT / NOTES
 
word2vec, node2vec, graph2vec, X2vec: Towards a Theory of Vector Embeddings o...
word2vec, node2vec, graph2vec, X2vec: Towards a Theory of Vector Embeddings o...word2vec, node2vec, graph2vec, X2vec: Towards a Theory of Vector Embeddings o...
word2vec, node2vec, graph2vec, X2vec: Towards a Theory of Vector Embeddings o...
 
DyGraph: A Dynamic Graph Generator and Benchmark Suite : NOTES
DyGraph: A Dynamic Graph Generator and Benchmark Suite : NOTESDyGraph: A Dynamic Graph Generator and Benchmark Suite : NOTES
DyGraph: A Dynamic Graph Generator and Benchmark Suite : NOTES
 
Shared memory Parallelism (NOTES)
Shared memory Parallelism (NOTES)Shared memory Parallelism (NOTES)
Shared memory Parallelism (NOTES)
 
A Dynamic Algorithm for Local Community Detection in Graphs : NOTES
A Dynamic Algorithm for Local Community Detection in Graphs : NOTESA Dynamic Algorithm for Local Community Detection in Graphs : NOTES
A Dynamic Algorithm for Local Community Detection in Graphs : NOTES
 
Scalable Static and Dynamic Community Detection Using Grappolo : NOTES
Scalable Static and Dynamic Community Detection Using Grappolo : NOTESScalable Static and Dynamic Community Detection Using Grappolo : NOTES
Scalable Static and Dynamic Community Detection Using Grappolo : NOTES
 
Application Areas of Community Detection: A Review : NOTES
Application Areas of Community Detection: A Review : NOTESApplication Areas of Community Detection: A Review : NOTES
Application Areas of Community Detection: A Review : NOTES
 
Community Detection on the GPU : NOTES
Community Detection on the GPU : NOTESCommunity Detection on the GPU : NOTES
Community Detection on the GPU : NOTES
 
Survey for extra-child-process package : NOTES
Survey for extra-child-process package : NOTESSurvey for extra-child-process package : NOTES
Survey for extra-child-process package : NOTES
 
Dynamic Batch Parallel Algorithms for Updating PageRank : POSTER
Dynamic Batch Parallel Algorithms for Updating PageRank : POSTERDynamic Batch Parallel Algorithms for Updating PageRank : POSTER
Dynamic Batch Parallel Algorithms for Updating PageRank : POSTER
 
Abstract for IPDPS 2022 PhD Forum on Dynamic Batch Parallel Algorithms for Up...
Abstract for IPDPS 2022 PhD Forum on Dynamic Batch Parallel Algorithms for Up...Abstract for IPDPS 2022 PhD Forum on Dynamic Batch Parallel Algorithms for Up...
Abstract for IPDPS 2022 PhD Forum on Dynamic Batch Parallel Algorithms for Up...
 
Fast Incremental Community Detection on Dynamic Graphs : NOTES
Fast Incremental Community Detection on Dynamic Graphs : NOTESFast Incremental Community Detection on Dynamic Graphs : NOTES
Fast Incremental Community Detection on Dynamic Graphs : NOTES
 

Recently uploaded

一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理
一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理
一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理
bttak
 
欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】
欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】
欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】
hanniaarias53
 
一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理
一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理
一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理
bttak
 
The Future of Wearable Technology in Healthcare: Innovations and Trends to Watch
The Future of Wearable Technology in Healthcare: Innovations and Trends to WatchThe Future of Wearable Technology in Healthcare: Innovations and Trends to Watch
The Future of Wearable Technology in Healthcare: Innovations and Trends to Watch
bluetroyvictorVinay
 
Company Profile of Tempcon - Chiller Manufacturer In India
Company Profile of Tempcon - Chiller Manufacturer In IndiaCompany Profile of Tempcon - Chiller Manufacturer In India
Company Profile of Tempcon - Chiller Manufacturer In India
soumotempcon
 
一比一原版办理(Caltech毕业证)加州理工学院毕业证
一比一原版办理(Caltech毕业证)加州理工学院毕业证一比一原版办理(Caltech毕业证)加州理工学院毕业证
一比一原版办理(Caltech毕业证)加州理工学院毕业证
kboqz
 
世预赛投注-世预赛投注投注官网app-世预赛投注官网app下载|【​网址​🎉ac123.net🎉​】
世预赛投注-世预赛投注投注官网app-世预赛投注官网app下载|【​网址​🎉ac123.net🎉​】世预赛投注-世预赛投注投注官网app-世预赛投注官网app下载|【​网址​🎉ac123.net🎉​】
世预赛投注-世预赛投注投注官网app-世预赛投注官网app下载|【​网址​🎉ac123.net🎉​】
bljeremy734
 
ℂall Girls Kolkata 😍 Call 0000000 Vip Escorts Service Kolkata
ℂall Girls Kolkata 😍 Call 0000000 Vip Escorts Service Kolkataℂall Girls Kolkata 😍 Call 0000000 Vip Escorts Service Kolkata
ℂall Girls Kolkata 😍 Call 0000000 Vip Escorts Service Kolkata
nhero3888
 
一比一原版(aiti毕业证书)澳洲悉尼翻译学院毕业证如何办理
一比一原版(aiti毕业证书)澳洲悉尼翻译学院毕业证如何办理一比一原版(aiti毕业证书)澳洲悉尼翻译学院毕业证如何办理
一比一原版(aiti毕业证书)澳洲悉尼翻译学院毕业证如何办理
fyguxu
 
一比一原版(SBU毕业证书)肯特州立大学毕业证如何办理
一比一原版(SBU毕业证书)肯特州立大学毕业证如何办理一比一原版(SBU毕业证书)肯特州立大学毕业证如何办理
一比一原版(SBU毕业证书)肯特州立大学毕业证如何办理
mbawufebxi
 
"IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER"
"IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER""IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER"
"IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER"
Emmanuel Onwumere
 
欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】
欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】
欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】
akrooshsaleem36
 
按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理
按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理
按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理
uwoso
 
买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样
买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样
买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样
nvoyobt
 
欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】
欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】
欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】
lopezkatherina914
 
We’re Underestimating the Damage Extreme Weather Does to Rooftop Solar Panels
We’re Underestimating the Damage Extreme Weather Does to Rooftop Solar PanelsWe’re Underestimating the Damage Extreme Weather Does to Rooftop Solar Panels
We’re Underestimating the Damage Extreme Weather Does to Rooftop Solar Panels
Grid Freedom Inc.
 
一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理
一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理
一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理
bttak
 

Recently uploaded (17)

一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理
一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理
一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理
 
欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】
欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】
欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】
 
一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理
一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理
一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理
 
The Future of Wearable Technology in Healthcare: Innovations and Trends to Watch
The Future of Wearable Technology in Healthcare: Innovations and Trends to WatchThe Future of Wearable Technology in Healthcare: Innovations and Trends to Watch
The Future of Wearable Technology in Healthcare: Innovations and Trends to Watch
 
Company Profile of Tempcon - Chiller Manufacturer In India
Company Profile of Tempcon - Chiller Manufacturer In IndiaCompany Profile of Tempcon - Chiller Manufacturer In India
Company Profile of Tempcon - Chiller Manufacturer In India
 
一比一原版办理(Caltech毕业证)加州理工学院毕业证
一比一原版办理(Caltech毕业证)加州理工学院毕业证一比一原版办理(Caltech毕业证)加州理工学院毕业证
一比一原版办理(Caltech毕业证)加州理工学院毕业证
 
世预赛投注-世预赛投注投注官网app-世预赛投注官网app下载|【​网址​🎉ac123.net🎉​】
世预赛投注-世预赛投注投注官网app-世预赛投注官网app下载|【​网址​🎉ac123.net🎉​】世预赛投注-世预赛投注投注官网app-世预赛投注官网app下载|【​网址​🎉ac123.net🎉​】
世预赛投注-世预赛投注投注官网app-世预赛投注官网app下载|【​网址​🎉ac123.net🎉​】
 
ℂall Girls Kolkata 😍 Call 0000000 Vip Escorts Service Kolkata
ℂall Girls Kolkata 😍 Call 0000000 Vip Escorts Service Kolkataℂall Girls Kolkata 😍 Call 0000000 Vip Escorts Service Kolkata
ℂall Girls Kolkata 😍 Call 0000000 Vip Escorts Service Kolkata
 
一比一原版(aiti毕业证书)澳洲悉尼翻译学院毕业证如何办理
一比一原版(aiti毕业证书)澳洲悉尼翻译学院毕业证如何办理一比一原版(aiti毕业证书)澳洲悉尼翻译学院毕业证如何办理
一比一原版(aiti毕业证书)澳洲悉尼翻译学院毕业证如何办理
 
一比一原版(SBU毕业证书)肯特州立大学毕业证如何办理
一比一原版(SBU毕业证书)肯特州立大学毕业证如何办理一比一原版(SBU毕业证书)肯特州立大学毕业证如何办理
一比一原版(SBU毕业证书)肯特州立大学毕业证如何办理
 
"IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER"
"IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER""IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER"
"IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER"
 
欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】
欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】
欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】
 
按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理
按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理
按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理
 
买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样
买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样
买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样
 
欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】
欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】
欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】
 
We’re Underestimating the Damage Extreme Weather Does to Rooftop Solar Panels
We’re Underestimating the Damage Extreme Weather Does to Rooftop Solar PanelsWe’re Underestimating the Damage Extreme Weather Does to Rooftop Solar Panels
We’re Underestimating the Damage Extreme Weather Does to Rooftop Solar Panels
 
一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理
一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理
一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理
 

Hybrid Memory Cube : Notes

  • 1. 03/10/2020 Hybrid Memory Cube - Wikipedia https://en.wikipedia.org/wiki/Hybrid_Memory_Cube 1/4 Hybrid Memory Cube Hybrid Memory Cube (HMC) is a high-performance RAM interface for through-silicon vias (TSV)-based stacked DRAM memory competing with the incompatible rival interface High Bandwidth Memory (HBM). Overview See also References External links Hybrid Memory Cube was co-developed by Samsung Electronics and Micron Technology in 2011,[1] and announced by Micron in September 2011.[2]. It promised a 15 times speed improvement over DDR3.[3] The Hybrid Memory Cube Consortium (HMCC) is backed by several major technology companies including Samsung, Micron Technology, Open-Silicon, ARM, HP (since withdrawn), Microsoft (since withdrawn), Altera (acquired by Intel in late 2015), and Xilinx.[4][5] Micron, while continuing to support HMCC, is discontinuing the HMC product [6] in 2018 when it failed to achieve market adoption. HMC combines through-silicon vias (TSV) and microbumps to connect multiple (currently 4 to 8) dies of memory cell arrays on top of each other.[7] The memory controller is integrated as a separate die.[2] HMC uses standard DRAM cells but it has more data banks than classic DRAM memory of the same size. The HMC interface is incompatible with current DDRn (DDR2 or DDR3) and competing High Bandwidth Memory implementations.[8] HMC technology won the Best New Technology award from The Linley Group (publisher of Microprocessor Report magazine) in 2011.[9][10] The first public specification, HMC 1.0, was published in April 2013.[11] According to it, the HMC uses 16- lane or 8-lane (half size) full-duplex differential serial links, with each lane having 10, 12.5 or 15 Gbit/s SerDes.[12] Each HMC package is named a cube, and they can be chained in a network of up to 8 cubes with cube-to-cube links and some cubes using their links as pass-through links.[13] A typical cube package with 4 links has 896 BGA pins and a size of 31×31×3.8 millimeters.[14] The typical raw bandwidth of a single 16-lane link with 10 Gbit/s signalling implies a total bandwidth of all 16 lanes of 40 GB/s (20 GB/s transmit and 20 GB/s receive); cubes with 4 and 8 links are planned, though the HMC 1.0 spec limits link speed to 10 Gbit/s in the 8-link case. Therefore, a 4-link cube can reach 240 GB/s memory bandwidth (120 GB/s each direction using 15 Gbit/s SerDes), while an 8-link cube can reach 320 GB/s bandwidth (160 GB/s each direction using 10 Gbit/s SerDes).[15] Effective memory bandwidth utilization varies from 33% to 50% for smallest packets of 32 bytes; and from 45% to 85% for 128 byte packets.[7] As reported at the HotChips 23 conference in 2011, the first generation of HMC demonstration cubes with four 50 nm DRAM memory dies and one 90 nm logic die with total capacity of 512 MB and size 27×27 mm had power consumption of 11 W and was powered with 1.2 V.[7] Contents Overview
  • 2. 03/10/2020 Hybrid Memory Cube - Wikipedia https://en.wikipedia.org/wiki/Hybrid_Memory_Cube 2/4 Engineering samples of second generation HMC memory chips were shipped in September 2013 by Micron.[3] Samples of 2 GB HMC (stack of 4 memory dies, each of 4 Gbit) are packed in a 31×31 mm package and have 4 HMC links. Other samples from 2013 have only two HMC links and a smaller package: 16×19.5 mm.[16] The second version of the HMC specification was published on 18 November 2014 by HMCC.[17] HMC2 offers a variety of SerDes rates ranging from 12.5 Gbit/s to 30 Gbit/s, yielding an aggregate link bandwidth of 480 GB/s (240 GB/s each direction), though promising only a total DRAM bandwidth of 320 GB/sec.[18] A package may have either 2 or 4 links (down from the 4 or 8 in HMC1), and a quarter-width option is added using 4 lanes. The first processor to use HMCs was the Fujitsu SPARC64 XIfx,[19] which is used in the Fujitsu PRIMEHPC FX100 supercomputer introduced in 2015. JEDEC's Wide I/O and Wide I/O 2 are seen as the mobile computing counterparts to the desktop/server- oriented HMC in that both involve 3D die stacks.[20] In August 2018, Micron announced a move away from HMC to pursue competing high-performance memory technologies such as GDDR6 and HBM.[21] MCDRAM Memristor Stacked DRAM Chip stack multi-chip modules High Bandwidth Memory (HBM), developed by AMD and Hynix, used in AMD's Fiji, and Nvidia's Pascal 1. Kada, Morihiro (2015). "Research and Development History of Three-Dimensional Integration Technology" (https://onecellonelightradio.files.wordpress.com/2018/11/three-dimensional-integrati on-of-semiconductors-2015.pdf) (PDF). Three-Dimensional Integration of Semiconductors: Processing, Materials, and Applications. Springer. pp. 15–6. ISBN 9783319186757. 2. Micron Reinvents DRAM Memory (http://www.linleygroup.com/newsletters/newsletter_detail.php? num=4744&year=2011&tag=3), Linley Group, Jag Bolaria, 12 September 2011 3. Mearian, Lucas (25 September 2013). "Micron ships Hybrid Memory Cube that boosts DRAM 15X" (http://www.computerworld.com/article/2485092/data-center/micron-ships-hybrid-memory-cu be-that-boosts-dram-15x.html). computerworld.com. Computerworld. Retrieved 4 November 2014. 4. Microsoft backs Hybrid Memory Cube tech (http://www.bit-tech.net/news/hardware/2012/05/09/mi crosoft-hmc-tech/1) // by Gareth Halfacree, bit-tech, 9 May 2012 5. "About Us" (https://web.archive.org/web/20111010021215/http://hybridmemorycube.org/about.ht ml). Hybrid Memory Cube Consortium. Archived from the original (http://hybridmemorycube.org/a bout.html) on 10 October 2011. Retrieved 10 October 2011. 6. "FAQs" (https://www.micron.com/support/faqs). www.micron.com. Retrieved 5 December 2018. 7. Hybrid Memory Cube (HMC), J. Thomas Pawlowski (Micron) // HotChips 23 8. Memory for Exascale and ... Micron's new memory component is called HMC: Hybrid Memory Cube (http://www.orau.gov/archI2011/presentations/resnickd02.pdf) Archived (https://web.archiv e.org/web/20120417011537/http://www.orau.gov/archI2011/presentations/resnickd02.pdf) 17 April 2012 at the Wayback Machine by Dave Resnick (Sandia National Laboratories) // 2011 Workshop on Architectures I: Exascale and Beyond, 8 July 2011 See also References
  • 3. 03/10/2020 Hybrid Memory Cube - Wikipedia https://en.wikipedia.org/wiki/Hybrid_Memory_Cube 3/4 Official website of the Hybrid Memory Cube Consortium (http://hybridmemorycube.org) HMC 1.0 Specification (https://web.archive.org/web/20130513053443/http://www.hybridmemoryc ube.org/files/SiteDownloads/HMC_Specification%201_0.pdf) HMC 2.0 Specification download form (http://hybridmemorycube.org/specification-v2-download-fo rm/) Revolutionary Advancements in Memory Performance (https://www.youtube.com/watch?v=h2swE qw6pbg) on YouTube Hybrid Memory Cube (HMC) (http://www.hotchips.org/wp-content/uploads/hc_archives/hc23/HC2 3.18.3-memory-FPGA/HC23.18.320-HybridCube-Pawlowski-Micron.pdf), J. Thomas Pawlowski (Micron) // HotChips 23, 2011 Stacking Stairs Against the Memory Wall (http://hybridmemorycube.org/files/SiteDownloads/2013 0402_HPCwire_%20Stacking%20Stairs%20Against%20the%20Memory%20Wall.pdf) by Nicole Hemsoth // HPC Wire, 2 April 2013 Retrieved from "https://en.wikipedia.org/w/index.php?title=Hybrid_Memory_Cube&oldid=946342318" This page was last edited on 19 March 2020, at 15:49 (UTC). 9. Micron's Hybrid Memory Cubes win tech award (http://www.bit-tech.net/news/hardware/2012/01/2 7/micron-hmc-win-award/1) // by Gareth Halfacree, bit-tech, 27 January 2012 10. Best Processor Technology of 2011 (http://www.linleygroup.com/newsletters/newsletter_detail.ph p?num=4789) // The Linley Group, Tom Halfhill, 23 Jan 2012 11. Hybrid Memory Cube receives its finished spec, promises up to 320GB per second (https://www.e ngadget.com/2013/04/03/hybrid-memory-cube-receives-its-finished-spec/) By Jon Fingas // Engadget, 3 April 2013 12. HMC 1.0 Specification, Chapter "1 HMC Architecture" 13. HMC 1.0 Specification, Chapter "5 Chaining" 14. HMC 1.0 Specification, Chapter "19 Packages for HMC-15G-SR Devices" 15. "Hybrid Memory Cube Specification 1.0" (https://web.archive.org/web/20130513053443/http://ww w.hybridmemorycube.org/files/SiteDownloads/HMC_Specification%201_0.pdf) (PDF). HMC Consortium. 1 January 2013. Archived from the original (http://hybridmemorycube.org/files/SiteDo wnloads/HMC_Specification%201_0.pdf) (PDF) on 13 May 2013. Retrieved 10 August 2016. 16. Hruska, Joel (25 September 2013). "Hybrid Memory Cube 160GB/sec RAM starts shipping: Is this the technology that finally kills DDR RAM?" (http://www.extremetech.com/computing/167368- hybrid-memory-cube-160gbsec-ram-starts-shipping-is-this-the-technology-that-finally-kills-ddr-ra m). Extreme Tech. Retrieved 27 September 2013. 17. Hybrid Memory Cube Consortium Advances Hybrid Memory Cube Performance and Industry Adoption With Release of New Specification (http://hybridmemorycube.org/files/SiteDownloads/2 0141119_HMCC_Spec2.0Release.pdf), 18 November 2014 18. "Hybrid Memory Cube Specification 2.1" (http://hybridmemorycube.org/files/SiteDownloads/HMC- 30G-VSR_HMCC_Specification_Rev2.1_20151105.pdf) (PDF). HMC Consortium. 5 November 2015. Retrieved 10 August 2016. 19. Halfhill, Tom R. (22 September 2014). "Sparc64 XIfx Uses Memory Cubes". Microprocessor Report. 20. Goering, Richard (6 August 2013). "Wide I/O 2, Hybrid Memory Cube (HMC) – Memory Models Advance 3D-IC Standards" (http://community.cadence.com/cadence_blogs_8/b/ii/archive/2013/0 8/06/wide-i-o-2-hybrid-memory-cube-hmc-memory-models-advance-3d-ic-standards). cadence.com. Cadence Design Systems. Retrieved 8 December 2014. 21. https://www.micron.com/about/blog/2018/august/micron-announces-shift-in-high-performance- memory-roadmap-strategy External links
  • 4. 03/10/2020 Hybrid Memory Cube - Wikipedia https://en.wikipedia.org/wiki/Hybrid_Memory_Cube 4/4 Text is available under the Creative Commons Attribution-ShareAlike License; additional terms may apply. By using this site, you agree to the Terms of Use and Privacy Policy. Wikipedia® is a registered trademark of the Wikimedia Foundation, Inc., a non-profit organization.