SlideShare a Scribd company logo
1 of 33
HIGH-PERFORMANCE ENERGY EFFICIENT
MULTICORE EMBEDDED COMPUTING
1
Ankit Talele
130913014
M.TECH(CSE)
01/10/2013DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
( IEEE TRANSACTIONS ON PARALLEL & DISTRIBUTED SYSTEMS, VOL.23,
NO.4, APRIL 2012)
Arslan Munir, Sanjay Ranka and
Ann Gordon-Ross
2
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
CONTENT
SR NO NAME
1 Introduction
2 Embedded Applications
3 Architectural Approaches
4 Hardware-Assisted Middleware Approaches
5 Software Approaches
6 High-Performance Energy-Efficient
Multicore Processors
7 Conclusions, Challenges and Future
Research Directions
8 References
1.INTRODUCTION
3
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
 EMBEDDED system design is traditionally power
centric but there has been a recent shift toward
high performance embedded computing (HPEC)
due to the increasing requirement of computer-
intensive embedded applications.
 The high-performance energy-efficient multicore
embedded computing(HPEEC) domain addresses
the unique design challenges of high-performance
and low-power/energy embedded computing.
4
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
 It is a challenging task as high performance typically
requires maximum processor speeds with enormous
energy consumption, whereas low power typically
requires nominal or low-processor speeds that offer
modest performance.
 To meet HPEEC power-performance requirements,
embedded system design has transitioned from a single-
core to a multicore paradigm that favors multiple low-
power cores running at low-processors speeds rather
than a single high speed power-hungry core.
5
Sr Supercomputing Applications Embedded Applications
1 Performance is the most significant
Metric.
Energy Efficiency is primary
concern in HPEEC.
2 Large number of Processors Comparatively less number of
processors
3 Each subset of a task is executed on
different processor.
Each Task executed on single
processor.
Table 1 Comparison between Supercomputer Applications and
Embedded Applications
Fig 1 Green Supercomputer and an Embedded System
6
Fig 1.1 A Multi-Core Processor
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
7
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
2. Embedded Applications
Fig 2.1 Characteristics of Embedded Applications
The proliferation of embedded systems is in various
domains. Such as consumer electronics, automotive,
industrial automation, networking, medical, defense,
space, etc.
Different embedded applications have different
characteristics.
 2.1 Throughput-Intensive
 Throughput-intensive embedded applications are
applications that require high-processing throughput.
 Networking and multimedia applications.
 2.2 Thermal Constraints
 An embedded application is thermal-constrained if an
increase in temperature above a threshold could lead to
incorrect results or even the embedded system failure.
 Fan based Applications.
8
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
 2.3 Reliability-Constrained
 Embedded systems with high reliability constraints are
typically required to operate for many years without
errors and/or must recover from errors since many
reliability-constrained embedded systems are deployed in
harsh environments where post deployment removal and
maintenance is infeasible.
 Space Missions, Aircraft Flight Controllers Applications.
 2.4 Parallel & Distributed
 Parallel and distributed embedded applications leverage
distributed embedded devices to cooperate and
aggregate their functionalities or resources.
 Wireless sensor network (WSN) Applications.
Architectural approaches can be broadly categorized into
four categories :
10
3 Architectural Approaches:
Fig 3.1 Architectural Approaches in Embedded Systems
In this section, we discuss various core layout
techniques encompassing chip and processor design
since high performance cannot be achieved only from
semiconductor technology advancements.
 3.1.1 Heterogeneous CMP
 Heterogeneous CMPs consist of multiple cores of
varying size, performance, and complexity on a single
die(Semiconductor Material) using ILP& TLP.
 Heterogeneous CMPs can provide performance gains
as high as 40 percent but at the expense of additional
customization cost.
11
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
3.1 Core Layout
12
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
o 3.1.2 Conjoined-Core CMP
Conjoined-core CMPs are multiprocessors
that allow topologically feasible resource sharing.
For Instance One core may use the shared resource
during even cycles and the other core may use the shared
resource during odd cycles, or one core may share the
resource for the first five cycles, another core for the next
five cycles, and so on.
Results indicate that conjoined core CMPs can reduce
area requirements by 50 percent.
3.2 Memory Design
The cache miss rate, fetch latency, and data transfer
bandwidth are some of the main factors impacting the
performance and energy consumption of embedded
systems.
 3.2.1 Transactional Memory
 Transactional memory incorporates the definition of a
transaction (a sequence of instructions executed by a
single process with the following properties : atomicity,
consistency, and isolation) in parallel programming to
achieve lock-free synchronization efficiency by
coordinating concurrent threads.
13
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
14
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
 Transactional memory benefits from hardware support
that ranges from complete execution of transactions in
hardware to hardware-accelerated software
implementations of transactional memory.
 3.2.2 Cache Partitioning
 Used generally in Real-Time Applications. Partitioning of
cache into levels (e.g., level two (L2) or level three (L3)
caches, interconnect networks).
Fig 3.2 Multi-Level Cache Partitioning
 Cache partitioning can enhance performance by
assigning larger portions of shared caches to cores with
higher workloads as compared to the cores with lighter
workloads.
 3.2.3 Smart Caching
 Smart caching focuses on energy-efficient computing and
leverages cache set (way) prediction and low-power
cache design techniques.
 Way prediction enables faster average cache access
time and reduces power consumption because only the
predicted way is accessed if the prediction is correct.
 Result in a cache static and dynamic energy reduction of
50-75 percent.
 3.3 Interconnection Network:
 As the number of on-chip cores increases, a scalable and
high-bandwidth interconnection network to connect on-chip
resources becomes crucial.
 Interconnection networks can be static or dynamic.
 3.3.1 Interconnection Topology
 The interconnect topology governs the number of hops or
routers a message must traverse as well as the
interconnection length.
 The interconnect topology determines the communication
latency and energy dissipation.
16
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
17
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
The interconnect topology cost is dictated by the node
degree and the length of the interconnecting wire.
Fig 3.3 Graph with Node Degree Representation
18
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
 3.3.2 Wireless Interconnect
 Wireless interconnect is an emerging technology that
promises to provide high bandwidth, low latency, and low-
energy dissipation by eliminating lengthy wired
interconnects.
 Carbon nanotubes(CNT) are used for Wireless
Interconnection.
 Experiments indicate that a wireless interconnect can
reduce the communication latency by 20-45 percent.
19
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
 3.4 Reduction Technique:
 Embedded system architectural design must consider
power dissipation reduction techniques.
 3.4.1 Leakage Current Reduction
 Leakage current reduction techniques include back
biasing, silicon on insulator technologies, multithreshold
MOS transistors, and power gating.
 3.4.2 Short Circuit Current Reduction
 The short circuit current can be reduced using low level
design techniques that aim to reduce the time during
which both nMOSFET and pMOSFET are on.
 4 Hardware-Assisted Middleware Approaches:
Hardware-Assisted Middleware approaches can be
broadly categorized into Three categories :
20
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
Fig 4.1 Hardware Approaches in Embedded Systems
 4.1 Threading Techniques:
 Different threading techniques target high performance by
enabling a single processor to execute multiple threads.
 4.1.1 Hyper threading
 Hyper threading leverages simultaneous multithreading to
enable a single processor to appear as two logical
processors and allows instructions from both of the logical
processors to execute simultaneously on the shared
resources.
21
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
22
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
Fig 4.1.1 Hyper threading Technology
Hyper threading enables the OS to schedule multiple threads
to the processor so that different threads can use the idle
execution units.
23
Fig 4.1.2 Speculative Threading
o4.1.2 Speculative threading
Speculative threading approaches provide high
performance by removing unnecessary serialization in
programs.
Speculative multithreading divides a sequential program into
multiple contiguous program segments called tasks and
execute these tasks in parallel on multiple cores.
24
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
 4.2 Gating Techniques:
 4.2.1 Power Gating
 Power gating is a power management technique that
reduces leakage power by switching off the supply voltage
to idle logic elements after detecting no activity for a certain
period of time.
 4.2.2 Pre-Core Power Gating
 Per-core power gating is a fine grained power gating
technique that individually switches off idle cores.
 4.2.3 Split Power Plans
 Minimizes both static and dynamic power dissipation by
removing power from idle portions of the chip.
 5. Software Approaches
 Software approaches enable high performance by
scheduling and migrating tasks statically or dynamically to
meet application requirements.
 5.1 Task Scheduling
 The task scheduling problem can be defined as determining
an optimal assignment of tasks to cores that minimizes the
power consumption while maintaining the chip temperature
below the DTM enforced ceiling temperature with minimal
or no performance degradation given the total energy
budget.
25
Fig 5 Software Approaches in Embedded System
 5.2 Task Migration
 In a multithreaded environment, threads periodically can
enter and leave cores. Thread migration is a DPM and
DTM technique that allows a scheduled thread to
execute, preempt, or migrate to another core based on
the thread’s thermal or power profile.
 Thread migration techniques can be characterized as
rotation-based, temperature-based or power-based.
 5.3 Load Balancing
 Load balancing techniques distribute a workload equally
across all the cores in a multicore embedded system.
 Load unbalancing can be caused by either extrinsic or
intrinsic factors.
26
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
 6. High Performance Energy-Efficienty
Multicore Processors
 Some of the models of HPEE were Developed those are:
1. Tilera TILEpro64 and TILE-Gx
2. Intel Xeon Processor
3. Graphics Processing Units.
27
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
Table 3 High-Performance Energy Efficient Multicore Processors
29
7. Conclusions, Challenges & Future Research
 HPEEC is an active and expanding research domain with
applications ranging from consumer electronics to
supercomputers.
 The introduction of HPEEC into supercomputing has boosted
the significance of the HPEEC domain as power is becoming
a concern for modern supercomputing considering the long-
term operation and cooling costs.
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
30
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
Table 3 High-Performance Energy Efficient Computing Challenges
31
7. References
 [1] W. Dally, J. Balfour, D. Black-Shaffer, J. Chen, R. Harting,
V.Parikh, J. Park, and D. Sheffield, “Efficient Embedded
Computing,” Computer, vol. 41, no. 7, pp. 27-32, July 2008.
 [2] J. Balfour, “Efficient Embedded Computing,” PhD thesis, EE
Dept., Stanford Univ., May 2010.
 [3] P. Gepner, D. Fraser, M. Kowalik, and R. Tylman, “New
Multi- Core Intel Xeon Processors Help Design Energy Efficient
Solution for High Performance Computing,” Proc. Int’l
MultiConf. Computer Science and Information Technology
(IMCSIT), Oct. 2009.
 [4] P. Crowley, M. Franklin, J. Buhler, and R. Chamberlain,
“Impact of CMP Design on High-Performance Embedded
Computing,” Proc. High Performance Embedded Computing
(HPEC) Workshop, Sept. 2006.
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
 [5] Top500, “Top 500 Supercomputer Sites,”
http://www.top500. org/, June 2011.
 [6] Green500, “Ranking the World’s Most Energy-
Efficient Supercomputers,” http://www.green500.org/,
June 2011.
 [7] K. Hwang, “Advanced Parallel Processing with
Supercomputer Architectures,” Proc. IEEE, vol. 75, no.
10, pp. 1348-1379, Oct. 1987.
 [8] A. Klietz, A. Malevsky, and K. Chin-Purcell, “Mix-and-
Match High Performance Computing,” IEEE Potentials,
vol. 13, no. 3, pp. 6-10, Aug./Sept. 1994.
 And Many More.
32
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
33
DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING

More Related Content

What's hot

Compatibility between the new and the current ipv6 packet headers
Compatibility between the new and the current ipv6 packet headersCompatibility between the new and the current ipv6 packet headers
Compatibility between the new and the current ipv6 packet headersIAEME Publication
 
IJSRED-V1I1P5
IJSRED-V1I1P5IJSRED-V1I1P5
IJSRED-V1I1P5IJSRED
 
18068 system software suppor t for router fault tolerance(word 2 column)
18068 system software suppor t for router fault tolerance(word 2 column)18068 system software suppor t for router fault tolerance(word 2 column)
18068 system software suppor t for router fault tolerance(word 2 column)Ashenafi Workie
 
A Review on Network Survivability in Optical Networks
A Review on Network Survivability in Optical NetworksA Review on Network Survivability in Optical Networks
A Review on Network Survivability in Optical NetworksIJERA Editor
 
IRJET- Congestion Avoidance and Qos Improvement in Base Station with Femt...
IRJET-  	  Congestion Avoidance and Qos Improvement in Base Station with Femt...IRJET-  	  Congestion Avoidance and Qos Improvement in Base Station with Femt...
IRJET- Congestion Avoidance and Qos Improvement in Base Station with Femt...IRJET Journal
 
Protection Technique for Complex Distribution Smart Grid Using Wireless Token...
Protection Technique for Complex Distribution Smart Grid Using Wireless Token...Protection Technique for Complex Distribution Smart Grid Using Wireless Token...
Protection Technique for Complex Distribution Smart Grid Using Wireless Token...Power System Operation
 
A novel approach to develop a reliable routing protocol for wireless mesh net...
A novel approach to develop a reliable routing protocol for wireless mesh net...A novel approach to develop a reliable routing protocol for wireless mesh net...
A novel approach to develop a reliable routing protocol for wireless mesh net...eSAT Journals
 
Design of Tele command SOC-IP by AES Cryptographic Method Using VHDL
Design of Tele command SOC-IP by AES Cryptographic Method Using VHDLDesign of Tele command SOC-IP by AES Cryptographic Method Using VHDL
Design of Tele command SOC-IP by AES Cryptographic Method Using VHDLdbpublications
 
Performance evaluation of qos in
Performance evaluation of qos inPerformance evaluation of qos in
Performance evaluation of qos incaijjournal
 
IRJET- Implementation and Simulation of Failsafe Network Architecture
IRJET-  	  Implementation and Simulation of Failsafe Network ArchitectureIRJET-  	  Implementation and Simulation of Failsafe Network Architecture
IRJET- Implementation and Simulation of Failsafe Network ArchitectureIRJET Journal
 
Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...ijasuc
 
Reliable Metrics for Wireless Mesh Network
Reliable Metrics for Wireless Mesh NetworkReliable Metrics for Wireless Mesh Network
Reliable Metrics for Wireless Mesh NetworkIRJET Journal
 
DESIGN ISSUES ON SOFTWARE ASPECTS AND SIMULATION TOOLS FOR WIRELESS SENSOR NE...
DESIGN ISSUES ON SOFTWARE ASPECTS AND SIMULATION TOOLS FOR WIRELESS SENSOR NE...DESIGN ISSUES ON SOFTWARE ASPECTS AND SIMULATION TOOLS FOR WIRELESS SENSOR NE...
DESIGN ISSUES ON SOFTWARE ASPECTS AND SIMULATION TOOLS FOR WIRELESS SENSOR NE...IJNSA Journal
 
Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...
Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...
Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...ijcncs
 
VIRTUAL ARCHITECTURE AND ENERGYEFFICIENT ROUTING PROTOCOLS FOR 3D WIRELESS SE...
VIRTUAL ARCHITECTURE AND ENERGYEFFICIENT ROUTING PROTOCOLS FOR 3D WIRELESS SE...VIRTUAL ARCHITECTURE AND ENERGYEFFICIENT ROUTING PROTOCOLS FOR 3D WIRELESS SE...
VIRTUAL ARCHITECTURE AND ENERGYEFFICIENT ROUTING PROTOCOLS FOR 3D WIRELESS SE...ijwmn
 
Capacity Improvement and Protection of LTE Network on Ethernet Based Technique
Capacity Improvement and Protection of LTE Network on Ethernet Based TechniqueCapacity Improvement and Protection of LTE Network on Ethernet Based Technique
Capacity Improvement and Protection of LTE Network on Ethernet Based TechniqueTELKOMNIKA JOURNAL
 
Distance based cluster head section in sensor networks for efficient energy u...
Distance based cluster head section in sensor networks for efficient energy u...Distance based cluster head section in sensor networks for efficient energy u...
Distance based cluster head section in sensor networks for efficient energy u...IAEME Publication
 

What's hot (20)

Compatibility between the new and the current ipv6 packet headers
Compatibility between the new and the current ipv6 packet headersCompatibility between the new and the current ipv6 packet headers
Compatibility between the new and the current ipv6 packet headers
 
IJSRED-V1I1P5
IJSRED-V1I1P5IJSRED-V1I1P5
IJSRED-V1I1P5
 
18068 system software suppor t for router fault tolerance(word 2 column)
18068 system software suppor t for router fault tolerance(word 2 column)18068 system software suppor t for router fault tolerance(word 2 column)
18068 system software suppor t for router fault tolerance(word 2 column)
 
A Review on Network Survivability in Optical Networks
A Review on Network Survivability in Optical NetworksA Review on Network Survivability in Optical Networks
A Review on Network Survivability in Optical Networks
 
IRJET- Congestion Avoidance and Qos Improvement in Base Station with Femt...
IRJET-  	  Congestion Avoidance and Qos Improvement in Base Station with Femt...IRJET-  	  Congestion Avoidance and Qos Improvement in Base Station with Femt...
IRJET- Congestion Avoidance and Qos Improvement in Base Station with Femt...
 
Protection Technique for Complex Distribution Smart Grid Using Wireless Token...
Protection Technique for Complex Distribution Smart Grid Using Wireless Token...Protection Technique for Complex Distribution Smart Grid Using Wireless Token...
Protection Technique for Complex Distribution Smart Grid Using Wireless Token...
 
Cw25585588
Cw25585588Cw25585588
Cw25585588
 
A novel approach to develop a reliable routing protocol for wireless mesh net...
A novel approach to develop a reliable routing protocol for wireless mesh net...A novel approach to develop a reliable routing protocol for wireless mesh net...
A novel approach to develop a reliable routing protocol for wireless mesh net...
 
Design of Tele command SOC-IP by AES Cryptographic Method Using VHDL
Design of Tele command SOC-IP by AES Cryptographic Method Using VHDLDesign of Tele command SOC-IP by AES Cryptographic Method Using VHDL
Design of Tele command SOC-IP by AES Cryptographic Method Using VHDL
 
Performance evaluation of qos in
Performance evaluation of qos inPerformance evaluation of qos in
Performance evaluation of qos in
 
IRJET- Implementation and Simulation of Failsafe Network Architecture
IRJET-  	  Implementation and Simulation of Failsafe Network ArchitectureIRJET-  	  Implementation and Simulation of Failsafe Network Architecture
IRJET- Implementation and Simulation of Failsafe Network Architecture
 
Ax24329333
Ax24329333Ax24329333
Ax24329333
 
Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...
 
Reliable Metrics for Wireless Mesh Network
Reliable Metrics for Wireless Mesh NetworkReliable Metrics for Wireless Mesh Network
Reliable Metrics for Wireless Mesh Network
 
DESIGN ISSUES ON SOFTWARE ASPECTS AND SIMULATION TOOLS FOR WIRELESS SENSOR NE...
DESIGN ISSUES ON SOFTWARE ASPECTS AND SIMULATION TOOLS FOR WIRELESS SENSOR NE...DESIGN ISSUES ON SOFTWARE ASPECTS AND SIMULATION TOOLS FOR WIRELESS SENSOR NE...
DESIGN ISSUES ON SOFTWARE ASPECTS AND SIMULATION TOOLS FOR WIRELESS SENSOR NE...
 
1
11
1
 
Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...
Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...
Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...
 
VIRTUAL ARCHITECTURE AND ENERGYEFFICIENT ROUTING PROTOCOLS FOR 3D WIRELESS SE...
VIRTUAL ARCHITECTURE AND ENERGYEFFICIENT ROUTING PROTOCOLS FOR 3D WIRELESS SE...VIRTUAL ARCHITECTURE AND ENERGYEFFICIENT ROUTING PROTOCOLS FOR 3D WIRELESS SE...
VIRTUAL ARCHITECTURE AND ENERGYEFFICIENT ROUTING PROTOCOLS FOR 3D WIRELESS SE...
 
Capacity Improvement and Protection of LTE Network on Ethernet Based Technique
Capacity Improvement and Protection of LTE Network on Ethernet Based TechniqueCapacity Improvement and Protection of LTE Network on Ethernet Based Technique
Capacity Improvement and Protection of LTE Network on Ethernet Based Technique
 
Distance based cluster head section in sensor networks for efficient energy u...
Distance based cluster head section in sensor networks for efficient energy u...Distance based cluster head section in sensor networks for efficient energy u...
Distance based cluster head section in sensor networks for efficient energy u...
 

Viewers also liked

Presentation
PresentationPresentation
Presentationbutest
 
ICWES15 - Curtiss-Wright Engineering Cadettes: 21st Century Questions and Iss...
ICWES15 - Curtiss-Wright Engineering Cadettes: 21st Century Questions and Iss...ICWES15 - Curtiss-Wright Engineering Cadettes: 21st Century Questions and Iss...
ICWES15 - Curtiss-Wright Engineering Cadettes: 21st Century Questions and Iss...Engineers Australia
 
Effective networking: social media
Effective networking: social mediaEffective networking: social media
Effective networking: social mediaRCSI Library
 
18 Khan Precis
18 Khan Precis18 Khan Precis
18 Khan PrecisImran Khan
 
Hybrid Reverberation Algorithm: a Practical Approach
Hybrid Reverberation Algorithm: a Practical ApproachHybrid Reverberation Algorithm: a Practical Approach
Hybrid Reverberation Algorithm: a Practical Approacha3labdsp
 
Optimized implementation of an innovative digital audio equalizer
Optimized implementation of an innovative digital audio equalizerOptimized implementation of an innovative digital audio equalizer
Optimized implementation of an innovative digital audio equalizera3labdsp
 
An Efficient DSP Implementation of a Dynamic Convolution Using Principal Comp...
An Efficient DSP Implementation of a Dynamic Convolution Using Principal Comp...An Efficient DSP Implementation of a Dynamic Convolution Using Principal Comp...
An Efficient DSP Implementation of a Dynamic Convolution Using Principal Comp...a3labdsp
 
Pitch and Networking Workshop Startup Weekend Kosice
Pitch and Networking Workshop Startup Weekend KosicePitch and Networking Workshop Startup Weekend Kosice
Pitch and Networking Workshop Startup Weekend KosiceCan Ertugrul
 
System Identification Based on Hammerstein Models Using Cubic Splines
System Identification Based on Hammerstein Models Using Cubic SplinesSystem Identification Based on Hammerstein Models Using Cubic Splines
System Identification Based on Hammerstein Models Using Cubic Splinesa3labdsp
 
HOW can Life in Cities be made better?
HOW can Life in Cities be made better?HOW can Life in Cities be made better?
HOW can Life in Cities be made better?Yogesh Upadhyaya
 
Low Power High-Performance Computing on the BeagleBoard Platform
Low Power High-Performance Computing on the BeagleBoard PlatformLow Power High-Performance Computing on the BeagleBoard Platform
Low Power High-Performance Computing on the BeagleBoard Platforma3labdsp
 
Hybrid Reverberator Using Multiple Impulse Responses for Audio Rendering Impr...
Hybrid Reverberator Using Multiple Impulse Responses for Audio Rendering Impr...Hybrid Reverberator Using Multiple Impulse Responses for Audio Rendering Impr...
Hybrid Reverberator Using Multiple Impulse Responses for Audio Rendering Impr...a3labdsp
 
HPC the new normal
HPC the new normalHPC the new normal
HPC the new normalEurotech
 
Introduction to High-Performance Computing
Introduction to High-Performance ComputingIntroduction to High-Performance Computing
Introduction to High-Performance ComputingUmarudin Zaenuri
 
High Performance Computing: an Introduction for the Society of Actuaries
High Performance Computing: an Introduction for the Society of ActuariesHigh Performance Computing: an Introduction for the Society of Actuaries
High Performance Computing: an Introduction for the Society of ActuariesAdam DeConinck
 
High Performance Computing
High Performance ComputingHigh Performance Computing
High Performance ComputingDell World
 
Modern Computing: Cloud, Distributed, & High Performance
Modern Computing: Cloud, Distributed, & High PerformanceModern Computing: Cloud, Distributed, & High Performance
Modern Computing: Cloud, Distributed, & High Performanceinside-BigData.com
 
High performance computing - building blocks, production & perspective
High performance computing - building blocks, production & perspectiveHigh performance computing - building blocks, production & perspective
High performance computing - building blocks, production & perspectiveJason Shih
 

Viewers also liked (20)

Presentation
PresentationPresentation
Presentation
 
ICWES15 - Curtiss-Wright Engineering Cadettes: 21st Century Questions and Iss...
ICWES15 - Curtiss-Wright Engineering Cadettes: 21st Century Questions and Iss...ICWES15 - Curtiss-Wright Engineering Cadettes: 21st Century Questions and Iss...
ICWES15 - Curtiss-Wright Engineering Cadettes: 21st Century Questions and Iss...
 
Effective networking: social media
Effective networking: social mediaEffective networking: social media
Effective networking: social media
 
18 Khan Precis
18 Khan Precis18 Khan Precis
18 Khan Precis
 
Ieee Cloud Computing ITB
Ieee Cloud Computing ITBIeee Cloud Computing ITB
Ieee Cloud Computing ITB
 
Hybrid Reverberation Algorithm: a Practical Approach
Hybrid Reverberation Algorithm: a Practical ApproachHybrid Reverberation Algorithm: a Practical Approach
Hybrid Reverberation Algorithm: a Practical Approach
 
Optimized implementation of an innovative digital audio equalizer
Optimized implementation of an innovative digital audio equalizerOptimized implementation of an innovative digital audio equalizer
Optimized implementation of an innovative digital audio equalizer
 
An Efficient DSP Implementation of a Dynamic Convolution Using Principal Comp...
An Efficient DSP Implementation of a Dynamic Convolution Using Principal Comp...An Efficient DSP Implementation of a Dynamic Convolution Using Principal Comp...
An Efficient DSP Implementation of a Dynamic Convolution Using Principal Comp...
 
Pitch and Networking Workshop Startup Weekend Kosice
Pitch and Networking Workshop Startup Weekend KosicePitch and Networking Workshop Startup Weekend Kosice
Pitch and Networking Workshop Startup Weekend Kosice
 
System Identification Based on Hammerstein Models Using Cubic Splines
System Identification Based on Hammerstein Models Using Cubic SplinesSystem Identification Based on Hammerstein Models Using Cubic Splines
System Identification Based on Hammerstein Models Using Cubic Splines
 
HOW can Life in Cities be made better?
HOW can Life in Cities be made better?HOW can Life in Cities be made better?
HOW can Life in Cities be made better?
 
Low Power High-Performance Computing on the BeagleBoard Platform
Low Power High-Performance Computing on the BeagleBoard PlatformLow Power High-Performance Computing on the BeagleBoard Platform
Low Power High-Performance Computing on the BeagleBoard Platform
 
Hybrid Reverberator Using Multiple Impulse Responses for Audio Rendering Impr...
Hybrid Reverberator Using Multiple Impulse Responses for Audio Rendering Impr...Hybrid Reverberator Using Multiple Impulse Responses for Audio Rendering Impr...
Hybrid Reverberator Using Multiple Impulse Responses for Audio Rendering Impr...
 
HPC the new normal
HPC the new normalHPC the new normal
HPC the new normal
 
Introduction to High-Performance Computing
Introduction to High-Performance ComputingIntroduction to High-Performance Computing
Introduction to High-Performance Computing
 
High–Performance Computing
High–Performance ComputingHigh–Performance Computing
High–Performance Computing
 
High Performance Computing: an Introduction for the Society of Actuaries
High Performance Computing: an Introduction for the Society of ActuariesHigh Performance Computing: an Introduction for the Society of Actuaries
High Performance Computing: an Introduction for the Society of Actuaries
 
High Performance Computing
High Performance ComputingHigh Performance Computing
High Performance Computing
 
Modern Computing: Cloud, Distributed, & High Performance
Modern Computing: Cloud, Distributed, & High PerformanceModern Computing: Cloud, Distributed, & High Performance
Modern Computing: Cloud, Distributed, & High Performance
 
High performance computing - building blocks, production & perspective
High performance computing - building blocks, production & perspectiveHigh performance computing - building blocks, production & perspective
High performance computing - building blocks, production & perspective
 

Similar to High performance energy efficient multicore embedded computing

Implementation of resource sharing strategy for power optimization in embedde...
Implementation of resource sharing strategy for power optimization in embedde...Implementation of resource sharing strategy for power optimization in embedde...
Implementation of resource sharing strategy for power optimization in embedde...Alexander Decker
 
Design of optimal system level for embedded wireless sensor unit
Design of optimal system  level for embedded wireless sensor unitDesign of optimal system  level for embedded wireless sensor unit
Design of optimal system level for embedded wireless sensor unitIAEME Publication
 
Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad
 Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad
Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridadMarketing Donalba
 
Granularity of efficient energy saving in wireless sensor networks
Granularity of efficient energy saving in wireless sensor networksGranularity of efficient energy saving in wireless sensor networks
Granularity of efficient energy saving in wireless sensor networkseSAT Journals
 
Corona based energy efficient clustering in wsn 2
Corona based energy efficient clustering in wsn 2Corona based energy efficient clustering in wsn 2
Corona based energy efficient clustering in wsn 2IAEME Publication
 
Corona based energy efficient clustering in wsn 2
Corona based energy efficient clustering in wsn 2Corona based energy efficient clustering in wsn 2
Corona based energy efficient clustering in wsn 2IAEME Publication
 
ABB Corporate Research: Overview of Wired Industrial Ethernet Switching Solut...
ABB Corporate Research: Overview of Wired Industrial Ethernet Switching Solut...ABB Corporate Research: Overview of Wired Industrial Ethernet Switching Solut...
ABB Corporate Research: Overview of Wired Industrial Ethernet Switching Solut...Ken Ott
 
Effect on Substation Engineering Costs of IEC61850 & System Configuration Tools
Effect on Substation Engineering Costs of IEC61850 & System Configuration ToolsEffect on Substation Engineering Costs of IEC61850 & System Configuration Tools
Effect on Substation Engineering Costs of IEC61850 & System Configuration ToolsSchneider Electric
 
An octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingAn octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingeSAT Journals
 
Low power network on chip architectures: A survey
Low power network on chip architectures: A surveyLow power network on chip architectures: A survey
Low power network on chip architectures: A surveyCSITiaesprime
 
TASK & RESOURCE SELF-ADAPTIVE EMBEDDED REAL-TIME OPERATING SYSTEM MICROKERNEL...
TASK & RESOURCE SELF-ADAPTIVE EMBEDDED REAL-TIME OPERATING SYSTEM MICROKERNEL...TASK & RESOURCE SELF-ADAPTIVE EMBEDDED REAL-TIME OPERATING SYSTEM MICROKERNEL...
TASK & RESOURCE SELF-ADAPTIVE EMBEDDED REAL-TIME OPERATING SYSTEM MICROKERNEL...cscpconf
 
Task & resource self adaptive
Task & resource self adaptiveTask & resource self adaptive
Task & resource self adaptivecsandit
 
ACCELERATED DEEP LEARNING INFERENCE FROM CONSTRAINED EMBEDDED DEVICES
ACCELERATED DEEP LEARNING INFERENCE FROM CONSTRAINED EMBEDDED DEVICESACCELERATED DEEP LEARNING INFERENCE FROM CONSTRAINED EMBEDDED DEVICES
ACCELERATED DEEP LEARNING INFERENCE FROM CONSTRAINED EMBEDDED DEVICESIAEME Publication
 
Designing an Energy Efficient Clustering in Heterogeneous Wireless Sensor Net...
Designing an Energy Efficient Clustering in Heterogeneous Wireless Sensor Net...Designing an Energy Efficient Clustering in Heterogeneous Wireless Sensor Net...
Designing an Energy Efficient Clustering in Heterogeneous Wireless Sensor Net...IJCNCJournal
 
DESIGNING AN ENERGY EFFICIENT CLUSTERING IN HETEROGENEOUS WIRELESS SENSOR NET...
DESIGNING AN ENERGY EFFICIENT CLUSTERING IN HETEROGENEOUS WIRELESS SENSOR NET...DESIGNING AN ENERGY EFFICIENT CLUSTERING IN HETEROGENEOUS WIRELESS SENSOR NET...
DESIGNING AN ENERGY EFFICIENT CLUSTERING IN HETEROGENEOUS WIRELESS SENSOR NET...IJCNCJournal
 
Parallex - The Supercomputer
Parallex - The SupercomputerParallex - The Supercomputer
Parallex - The SupercomputerAnkit Singh
 
HYBRID OPTICAL AND ELECTRICAL NETWORK FLOWS SCHEDULING IN CLOUD DATA CENTRES
HYBRID OPTICAL AND ELECTRICAL NETWORK FLOWS SCHEDULING IN CLOUD DATA CENTRESHYBRID OPTICAL AND ELECTRICAL NETWORK FLOWS SCHEDULING IN CLOUD DATA CENTRES
HYBRID OPTICAL AND ELECTRICAL NETWORK FLOWS SCHEDULING IN CLOUD DATA CENTRESijcsit
 
Secure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationSecure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationeSAT Journals
 

Similar to High performance energy efficient multicore embedded computing (20)

Implementation of resource sharing strategy for power optimization in embedde...
Implementation of resource sharing strategy for power optimization in embedde...Implementation of resource sharing strategy for power optimization in embedde...
Implementation of resource sharing strategy for power optimization in embedde...
 
Design of optimal system level for embedded wireless sensor unit
Design of optimal system  level for embedded wireless sensor unitDesign of optimal system  level for embedded wireless sensor unit
Design of optimal system level for embedded wireless sensor unit
 
Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad
 Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad
Procesamiento multinúcleo óptimo para aplicaciones críticas de seguridad
 
Granularity of efficient energy saving in wireless sensor networks
Granularity of efficient energy saving in wireless sensor networksGranularity of efficient energy saving in wireless sensor networks
Granularity of efficient energy saving in wireless sensor networks
 
Corona based energy efficient clustering in wsn 2
Corona based energy efficient clustering in wsn 2Corona based energy efficient clustering in wsn 2
Corona based energy efficient clustering in wsn 2
 
Corona based energy efficient clustering in wsn 2
Corona based energy efficient clustering in wsn 2Corona based energy efficient clustering in wsn 2
Corona based energy efficient clustering in wsn 2
 
ABB Corporate Research: Overview of Wired Industrial Ethernet Switching Solut...
ABB Corporate Research: Overview of Wired Industrial Ethernet Switching Solut...ABB Corporate Research: Overview of Wired Industrial Ethernet Switching Solut...
ABB Corporate Research: Overview of Wired Industrial Ethernet Switching Solut...
 
Effect on Substation Engineering Costs of IEC61850 & System Configuration Tools
Effect on Substation Engineering Costs of IEC61850 & System Configuration ToolsEffect on Substation Engineering Costs of IEC61850 & System Configuration Tools
Effect on Substation Engineering Costs of IEC61850 & System Configuration Tools
 
Ms2420992102
Ms2420992102Ms2420992102
Ms2420992102
 
An octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingAn octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passing
 
Low power network on chip architectures: A survey
Low power network on chip architectures: A surveyLow power network on chip architectures: A survey
Low power network on chip architectures: A survey
 
TASK & RESOURCE SELF-ADAPTIVE EMBEDDED REAL-TIME OPERATING SYSTEM MICROKERNEL...
TASK & RESOURCE SELF-ADAPTIVE EMBEDDED REAL-TIME OPERATING SYSTEM MICROKERNEL...TASK & RESOURCE SELF-ADAPTIVE EMBEDDED REAL-TIME OPERATING SYSTEM MICROKERNEL...
TASK & RESOURCE SELF-ADAPTIVE EMBEDDED REAL-TIME OPERATING SYSTEM MICROKERNEL...
 
Task & resource self adaptive
Task & resource self adaptiveTask & resource self adaptive
Task & resource self adaptive
 
ACCELERATED DEEP LEARNING INFERENCE FROM CONSTRAINED EMBEDDED DEVICES
ACCELERATED DEEP LEARNING INFERENCE FROM CONSTRAINED EMBEDDED DEVICESACCELERATED DEEP LEARNING INFERENCE FROM CONSTRAINED EMBEDDED DEVICES
ACCELERATED DEEP LEARNING INFERENCE FROM CONSTRAINED EMBEDDED DEVICES
 
Designing an Energy Efficient Clustering in Heterogeneous Wireless Sensor Net...
Designing an Energy Efficient Clustering in Heterogeneous Wireless Sensor Net...Designing an Energy Efficient Clustering in Heterogeneous Wireless Sensor Net...
Designing an Energy Efficient Clustering in Heterogeneous Wireless Sensor Net...
 
DESIGNING AN ENERGY EFFICIENT CLUSTERING IN HETEROGENEOUS WIRELESS SENSOR NET...
DESIGNING AN ENERGY EFFICIENT CLUSTERING IN HETEROGENEOUS WIRELESS SENSOR NET...DESIGNING AN ENERGY EFFICIENT CLUSTERING IN HETEROGENEOUS WIRELESS SENSOR NET...
DESIGNING AN ENERGY EFFICIENT CLUSTERING IN HETEROGENEOUS WIRELESS SENSOR NET...
 
Rain technology seminar
Rain technology seminar Rain technology seminar
Rain technology seminar
 
Parallex - The Supercomputer
Parallex - The SupercomputerParallex - The Supercomputer
Parallex - The Supercomputer
 
HYBRID OPTICAL AND ELECTRICAL NETWORK FLOWS SCHEDULING IN CLOUD DATA CENTRES
HYBRID OPTICAL AND ELECTRICAL NETWORK FLOWS SCHEDULING IN CLOUD DATA CENTRESHYBRID OPTICAL AND ELECTRICAL NETWORK FLOWS SCHEDULING IN CLOUD DATA CENTRES
HYBRID OPTICAL AND ELECTRICAL NETWORK FLOWS SCHEDULING IN CLOUD DATA CENTRES
 
Secure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationSecure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfiguration
 

Recently uploaded

SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024Scott Keck-Warren
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksSoftradix Technologies
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Neo4j
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 3652toLead Limited
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024BookNet Canada
 
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024BookNet Canada
 
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptxMaking_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptxnull - The Open Security Community
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitecturePixlogix Infotech
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersThousandEyes
 
Snow Chain-Integrated Tire for a Safe Drive on Winter Roads
Snow Chain-Integrated Tire for a Safe Drive on Winter RoadsSnow Chain-Integrated Tire for a Safe Drive on Winter Roads
Snow Chain-Integrated Tire for a Safe Drive on Winter RoadsHyundai Motor Group
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 

Recently uploaded (20)

SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other Frameworks
 
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort ServiceHot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
 
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
 
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptxMaking_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC Architecture
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
 
Snow Chain-Integrated Tire for a Safe Drive on Winter Roads
Snow Chain-Integrated Tire for a Safe Drive on Winter RoadsSnow Chain-Integrated Tire for a Safe Drive on Winter Roads
Snow Chain-Integrated Tire for a Safe Drive on Winter Roads
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 

High performance energy efficient multicore embedded computing

  • 1. HIGH-PERFORMANCE ENERGY EFFICIENT MULTICORE EMBEDDED COMPUTING 1 Ankit Talele 130913014 M.TECH(CSE) 01/10/2013DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING ( IEEE TRANSACTIONS ON PARALLEL & DISTRIBUTED SYSTEMS, VOL.23, NO.4, APRIL 2012) Arslan Munir, Sanjay Ranka and Ann Gordon-Ross
  • 2. 2 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING CONTENT SR NO NAME 1 Introduction 2 Embedded Applications 3 Architectural Approaches 4 Hardware-Assisted Middleware Approaches 5 Software Approaches 6 High-Performance Energy-Efficient Multicore Processors 7 Conclusions, Challenges and Future Research Directions 8 References
  • 3. 1.INTRODUCTION 3 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING  EMBEDDED system design is traditionally power centric but there has been a recent shift toward high performance embedded computing (HPEC) due to the increasing requirement of computer- intensive embedded applications.  The high-performance energy-efficient multicore embedded computing(HPEEC) domain addresses the unique design challenges of high-performance and low-power/energy embedded computing.
  • 4. 4 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING  It is a challenging task as high performance typically requires maximum processor speeds with enormous energy consumption, whereas low power typically requires nominal or low-processor speeds that offer modest performance.  To meet HPEEC power-performance requirements, embedded system design has transitioned from a single- core to a multicore paradigm that favors multiple low- power cores running at low-processors speeds rather than a single high speed power-hungry core.
  • 5. 5 Sr Supercomputing Applications Embedded Applications 1 Performance is the most significant Metric. Energy Efficiency is primary concern in HPEEC. 2 Large number of Processors Comparatively less number of processors 3 Each subset of a task is executed on different processor. Each Task executed on single processor. Table 1 Comparison between Supercomputer Applications and Embedded Applications Fig 1 Green Supercomputer and an Embedded System
  • 6. 6 Fig 1.1 A Multi-Core Processor DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
  • 7. 7 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING 2. Embedded Applications Fig 2.1 Characteristics of Embedded Applications The proliferation of embedded systems is in various domains. Such as consumer electronics, automotive, industrial automation, networking, medical, defense, space, etc. Different embedded applications have different characteristics.
  • 8.  2.1 Throughput-Intensive  Throughput-intensive embedded applications are applications that require high-processing throughput.  Networking and multimedia applications.  2.2 Thermal Constraints  An embedded application is thermal-constrained if an increase in temperature above a threshold could lead to incorrect results or even the embedded system failure.  Fan based Applications. 8 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
  • 9.  2.3 Reliability-Constrained  Embedded systems with high reliability constraints are typically required to operate for many years without errors and/or must recover from errors since many reliability-constrained embedded systems are deployed in harsh environments where post deployment removal and maintenance is infeasible.  Space Missions, Aircraft Flight Controllers Applications.  2.4 Parallel & Distributed  Parallel and distributed embedded applications leverage distributed embedded devices to cooperate and aggregate their functionalities or resources.  Wireless sensor network (WSN) Applications.
  • 10. Architectural approaches can be broadly categorized into four categories : 10 3 Architectural Approaches: Fig 3.1 Architectural Approaches in Embedded Systems
  • 11. In this section, we discuss various core layout techniques encompassing chip and processor design since high performance cannot be achieved only from semiconductor technology advancements.  3.1.1 Heterogeneous CMP  Heterogeneous CMPs consist of multiple cores of varying size, performance, and complexity on a single die(Semiconductor Material) using ILP& TLP.  Heterogeneous CMPs can provide performance gains as high as 40 percent but at the expense of additional customization cost. 11 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING 3.1 Core Layout
  • 12. 12 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING o 3.1.2 Conjoined-Core CMP Conjoined-core CMPs are multiprocessors that allow topologically feasible resource sharing. For Instance One core may use the shared resource during even cycles and the other core may use the shared resource during odd cycles, or one core may share the resource for the first five cycles, another core for the next five cycles, and so on. Results indicate that conjoined core CMPs can reduce area requirements by 50 percent.
  • 13. 3.2 Memory Design The cache miss rate, fetch latency, and data transfer bandwidth are some of the main factors impacting the performance and energy consumption of embedded systems.  3.2.1 Transactional Memory  Transactional memory incorporates the definition of a transaction (a sequence of instructions executed by a single process with the following properties : atomicity, consistency, and isolation) in parallel programming to achieve lock-free synchronization efficiency by coordinating concurrent threads. 13 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
  • 14. 14 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING  Transactional memory benefits from hardware support that ranges from complete execution of transactions in hardware to hardware-accelerated software implementations of transactional memory.  3.2.2 Cache Partitioning  Used generally in Real-Time Applications. Partitioning of cache into levels (e.g., level two (L2) or level three (L3) caches, interconnect networks). Fig 3.2 Multi-Level Cache Partitioning
  • 15.  Cache partitioning can enhance performance by assigning larger portions of shared caches to cores with higher workloads as compared to the cores with lighter workloads.  3.2.3 Smart Caching  Smart caching focuses on energy-efficient computing and leverages cache set (way) prediction and low-power cache design techniques.  Way prediction enables faster average cache access time and reduces power consumption because only the predicted way is accessed if the prediction is correct.  Result in a cache static and dynamic energy reduction of 50-75 percent.
  • 16.  3.3 Interconnection Network:  As the number of on-chip cores increases, a scalable and high-bandwidth interconnection network to connect on-chip resources becomes crucial.  Interconnection networks can be static or dynamic.  3.3.1 Interconnection Topology  The interconnect topology governs the number of hops or routers a message must traverse as well as the interconnection length.  The interconnect topology determines the communication latency and energy dissipation. 16 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
  • 17. 17 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING The interconnect topology cost is dictated by the node degree and the length of the interconnecting wire. Fig 3.3 Graph with Node Degree Representation
  • 18. 18 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING  3.3.2 Wireless Interconnect  Wireless interconnect is an emerging technology that promises to provide high bandwidth, low latency, and low- energy dissipation by eliminating lengthy wired interconnects.  Carbon nanotubes(CNT) are used for Wireless Interconnection.  Experiments indicate that a wireless interconnect can reduce the communication latency by 20-45 percent.
  • 19. 19 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING  3.4 Reduction Technique:  Embedded system architectural design must consider power dissipation reduction techniques.  3.4.1 Leakage Current Reduction  Leakage current reduction techniques include back biasing, silicon on insulator technologies, multithreshold MOS transistors, and power gating.  3.4.2 Short Circuit Current Reduction  The short circuit current can be reduced using low level design techniques that aim to reduce the time during which both nMOSFET and pMOSFET are on.
  • 20.  4 Hardware-Assisted Middleware Approaches: Hardware-Assisted Middleware approaches can be broadly categorized into Three categories : 20 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Fig 4.1 Hardware Approaches in Embedded Systems
  • 21.  4.1 Threading Techniques:  Different threading techniques target high performance by enabling a single processor to execute multiple threads.  4.1.1 Hyper threading  Hyper threading leverages simultaneous multithreading to enable a single processor to appear as two logical processors and allows instructions from both of the logical processors to execute simultaneously on the shared resources. 21 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
  • 22. 22 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Fig 4.1.1 Hyper threading Technology Hyper threading enables the OS to schedule multiple threads to the processor so that different threads can use the idle execution units.
  • 23. 23 Fig 4.1.2 Speculative Threading o4.1.2 Speculative threading Speculative threading approaches provide high performance by removing unnecessary serialization in programs. Speculative multithreading divides a sequential program into multiple contiguous program segments called tasks and execute these tasks in parallel on multiple cores.
  • 24. 24 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING  4.2 Gating Techniques:  4.2.1 Power Gating  Power gating is a power management technique that reduces leakage power by switching off the supply voltage to idle logic elements after detecting no activity for a certain period of time.  4.2.2 Pre-Core Power Gating  Per-core power gating is a fine grained power gating technique that individually switches off idle cores.  4.2.3 Split Power Plans  Minimizes both static and dynamic power dissipation by removing power from idle portions of the chip.
  • 25.  5. Software Approaches  Software approaches enable high performance by scheduling and migrating tasks statically or dynamically to meet application requirements.  5.1 Task Scheduling  The task scheduling problem can be defined as determining an optimal assignment of tasks to cores that minimizes the power consumption while maintaining the chip temperature below the DTM enforced ceiling temperature with minimal or no performance degradation given the total energy budget. 25 Fig 5 Software Approaches in Embedded System
  • 26.  5.2 Task Migration  In a multithreaded environment, threads periodically can enter and leave cores. Thread migration is a DPM and DTM technique that allows a scheduled thread to execute, preempt, or migrate to another core based on the thread’s thermal or power profile.  Thread migration techniques can be characterized as rotation-based, temperature-based or power-based.  5.3 Load Balancing  Load balancing techniques distribute a workload equally across all the cores in a multicore embedded system.  Load unbalancing can be caused by either extrinsic or intrinsic factors. 26 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
  • 27.  6. High Performance Energy-Efficienty Multicore Processors  Some of the models of HPEE were Developed those are: 1. Tilera TILEpro64 and TILE-Gx 2. Intel Xeon Processor 3. Graphics Processing Units. 27 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
  • 28. Table 3 High-Performance Energy Efficient Multicore Processors
  • 29. 29 7. Conclusions, Challenges & Future Research  HPEEC is an active and expanding research domain with applications ranging from consumer electronics to supercomputers.  The introduction of HPEEC into supercomputing has boosted the significance of the HPEEC domain as power is becoming a concern for modern supercomputing considering the long- term operation and cooling costs. DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
  • 30. 30 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Table 3 High-Performance Energy Efficient Computing Challenges
  • 31. 31 7. References  [1] W. Dally, J. Balfour, D. Black-Shaffer, J. Chen, R. Harting, V.Parikh, J. Park, and D. Sheffield, “Efficient Embedded Computing,” Computer, vol. 41, no. 7, pp. 27-32, July 2008.  [2] J. Balfour, “Efficient Embedded Computing,” PhD thesis, EE Dept., Stanford Univ., May 2010.  [3] P. Gepner, D. Fraser, M. Kowalik, and R. Tylman, “New Multi- Core Intel Xeon Processors Help Design Energy Efficient Solution for High Performance Computing,” Proc. Int’l MultiConf. Computer Science and Information Technology (IMCSIT), Oct. 2009.  [4] P. Crowley, M. Franklin, J. Buhler, and R. Chamberlain, “Impact of CMP Design on High-Performance Embedded Computing,” Proc. High Performance Embedded Computing (HPEC) Workshop, Sept. 2006. DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
  • 32.  [5] Top500, “Top 500 Supercomputer Sites,” http://www.top500. org/, June 2011.  [6] Green500, “Ranking the World’s Most Energy- Efficient Supercomputers,” http://www.green500.org/, June 2011.  [7] K. Hwang, “Advanced Parallel Processing with Supercomputer Architectures,” Proc. IEEE, vol. 75, no. 10, pp. 1348-1379, Oct. 1987.  [8] A. Klietz, A. Malevsky, and K. Chin-Purcell, “Mix-and- Match High Performance Computing,” IEEE Potentials, vol. 13, no. 3, pp. 6-10, Aug./Sept. 1994.  And Many More. 32 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING
  • 33. 33 DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING