SlideShare a Scribd company logo
Rev.1 Page 1
Distributed Generation – Example 2
Written for PSCAD v4.5 and v4.6.
Simulation Setup
Load the library (Battery_Model_v2.pslx) into PSCAD, then load the project
DFIG_PV_Batt_Diesel20kV.pscx) into PSCAD.
In the library Project Settings, link in the library file corresponding to your Fortran compiler.
For example:
 Display the library Project Settings (right-click on the library file, and select “Project Settings”):
 When the Project Settings dialog displays, select the "Link” tab, and delete any text from the
“Additional Static Library…” field:
 Click on the “Browse” button, and browse to the .lib file corresponding to the Intel Fortran
compiler that you will be compiling this project with.
For example,
If you are using Intel Fortran Version 15, select the .lib file in “ ” folder.
Or
If you are using GFortran version 4.2, select the .lib file in “ ” folder.
 Select “OK” to apply these changes and close Project Settings.
Distributed Generation – Example 2
Rev.1 Page 2
Select the compiler for PSCAD:
 Display the Application Options dialog (display the PSCAD Start menu, and select “Options”).
 In the Application Options dialog, select the “Dependencies” tab from the drop-down menu,
and select the compiler. Select “OK” to apply the changes and close the dialog.
Distributed Generation – Example 2
Rev.1 Page 3
The “project tree” shows the sub-modules in the simulation. Click on the modules to navigate between
them. For example, to see the graphs and controls, click on the “DFIG_Detailed_Model_1” module or
double-click on the module shown as follows (it can be found in the main canvas).
The signals in the canvas can be traced using the “virtual wires” option as shown below. The simulation
must be compiled to activate “virtual wires”.
Copyright © 2018 Manitoba Hydro International Ltd. All Rights Reserved.

More Related Content

Similar to Distributed generation example_2 (1)

mblock_extension_guide.pdf
mblock_extension_guide.pdfmblock_extension_guide.pdf
mblock_extension_guide.pdf
DogoMaker
 
CS267_Graph_Lab
CS267_Graph_LabCS267_Graph_Lab
CS267_Graph_Lab
JaideepKatkar
 
File i o api c++ sample program
File i o api   c++ sample programFile i o api   c++ sample program
File i o api c++ sample program
Prafulla Tekriwal
 
Digital system design lab procedure ppt
Digital system design lab procedure pptDigital system design lab procedure ppt
Digital system design lab procedure ppt
Siripurapu Sridhar
 
Deploying Customizations across Microsoft dynamics ax 2012 environments
Deploying Customizations across Microsoft dynamics ax 2012 environmentsDeploying Customizations across Microsoft dynamics ax 2012 environments
Deploying Customizations across Microsoft dynamics ax 2012 environments
Tariq Rafique
 
Manual do SSCT
Manual do SSCTManual do SSCT
Manual do SSCT
Daniel Bastos
 
Filter designandanalysisusingmicrowaveoffice
Filter designandanalysisusingmicrowaveofficeFilter designandanalysisusingmicrowaveoffice
Filter designandanalysisusingmicrowaveoffice
Emad S. Ahmed
 
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
Rohit Chintu
 
R 2.13-six sigma-with_r_-_a_tutorial-en-us
R 2.13-six sigma-with_r_-_a_tutorial-en-usR 2.13-six sigma-with_r_-_a_tutorial-en-us
R 2.13-six sigma-with_r_-_a_tutorial-en-us
Martin Vidalón
 
Orcad layout
Orcad layoutOrcad layout
CP3_SDM_2010_Souma
CP3_SDM_2010_SoumaCP3_SDM_2010_Souma
CP3_SDM_2010_Souma
MDO_Lab
 
SPHARM-PDM_Tutorial_July2015
SPHARM-PDM_Tutorial_July2015SPHARM-PDM_Tutorial_July2015
SPHARM-PDM_Tutorial_July2015
Jonathan Perdomo
 
Visual programming
Visual programmingVisual programming
Visual programming
Aswinraj Manickam
 
Orcad Capture - Schematic Design Tutorial
Orcad Capture - Schematic Design TutorialOrcad Capture - Schematic Design Tutorial
Orcad Capture - Schematic Design Tutorial
Pantech ProLabs India Pvt Ltd
 
Installating and Configuring Java, MySQL and BIRT.
Installating and Configuring Java, MySQL and BIRT.Installating and Configuring Java, MySQL and BIRT.
Installating and Configuring Java, MySQL and BIRT.
NR Computer Learning Center
 
德國 Maxqda 12 質性分析軟體 入門及完整參考手冊
德國 Maxqda 12 質性分析軟體 入門及完整參考手冊德國 Maxqda 12 質性分析軟體 入門及完整參考手冊
德國 Maxqda 12 質性分析軟體 入門及完整參考手冊
Cheer Chain Enterprise Co., Ltd.
 
Usersguide
UsersguideUsersguide
Usersguide
vikas panthi
 
Adobe Flex 3 - Compiler API
Adobe Flex 3 - Compiler APIAdobe Flex 3 - Compiler API
Adobe Flex 3 - Compiler API
eugeneyh
 
CIC_Manual.pdf
CIC_Manual.pdfCIC_Manual.pdf
CIC_Manual.pdf
RMani7
 
Containers Lab
Containers Lab Containers Lab
Containers Lab
Dev_Events
 

Similar to Distributed generation example_2 (1) (20)

mblock_extension_guide.pdf
mblock_extension_guide.pdfmblock_extension_guide.pdf
mblock_extension_guide.pdf
 
CS267_Graph_Lab
CS267_Graph_LabCS267_Graph_Lab
CS267_Graph_Lab
 
File i o api c++ sample program
File i o api   c++ sample programFile i o api   c++ sample program
File i o api c++ sample program
 
Digital system design lab procedure ppt
Digital system design lab procedure pptDigital system design lab procedure ppt
Digital system design lab procedure ppt
 
Deploying Customizations across Microsoft dynamics ax 2012 environments
Deploying Customizations across Microsoft dynamics ax 2012 environmentsDeploying Customizations across Microsoft dynamics ax 2012 environments
Deploying Customizations across Microsoft dynamics ax 2012 environments
 
Manual do SSCT
Manual do SSCTManual do SSCT
Manual do SSCT
 
Filter designandanalysisusingmicrowaveoffice
Filter designandanalysisusingmicrowaveofficeFilter designandanalysisusingmicrowaveoffice
Filter designandanalysisusingmicrowaveoffice
 
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
 
R 2.13-six sigma-with_r_-_a_tutorial-en-us
R 2.13-six sigma-with_r_-_a_tutorial-en-usR 2.13-six sigma-with_r_-_a_tutorial-en-us
R 2.13-six sigma-with_r_-_a_tutorial-en-us
 
Orcad layout
Orcad layoutOrcad layout
Orcad layout
 
CP3_SDM_2010_Souma
CP3_SDM_2010_SoumaCP3_SDM_2010_Souma
CP3_SDM_2010_Souma
 
SPHARM-PDM_Tutorial_July2015
SPHARM-PDM_Tutorial_July2015SPHARM-PDM_Tutorial_July2015
SPHARM-PDM_Tutorial_July2015
 
Visual programming
Visual programmingVisual programming
Visual programming
 
Orcad Capture - Schematic Design Tutorial
Orcad Capture - Schematic Design TutorialOrcad Capture - Schematic Design Tutorial
Orcad Capture - Schematic Design Tutorial
 
Installating and Configuring Java, MySQL and BIRT.
Installating and Configuring Java, MySQL and BIRT.Installating and Configuring Java, MySQL and BIRT.
Installating and Configuring Java, MySQL and BIRT.
 
德國 Maxqda 12 質性分析軟體 入門及完整參考手冊
德國 Maxqda 12 質性分析軟體 入門及完整參考手冊德國 Maxqda 12 質性分析軟體 入門及完整參考手冊
德國 Maxqda 12 質性分析軟體 入門及完整參考手冊
 
Usersguide
UsersguideUsersguide
Usersguide
 
Adobe Flex 3 - Compiler API
Adobe Flex 3 - Compiler APIAdobe Flex 3 - Compiler API
Adobe Flex 3 - Compiler API
 
CIC_Manual.pdf
CIC_Manual.pdfCIC_Manual.pdf
CIC_Manual.pdf
 
Containers Lab
Containers Lab Containers Lab
Containers Lab
 

Recently uploaded

spot a liar (Haiqa 146).pptx Technical writhing and presentation skills
spot a liar (Haiqa 146).pptx Technical writhing and presentation skillsspot a liar (Haiqa 146).pptx Technical writhing and presentation skills
spot a liar (Haiqa 146).pptx Technical writhing and presentation skills
haiqairshad
 
writing about opinions about Australia the movie
writing about opinions about Australia the moviewriting about opinions about Australia the movie
writing about opinions about Australia the movie
Nicholas Montgomery
 
BÀI TẬP DẠY THÊM TIẾNG ANH LỚP 7 CẢ NĂM FRIENDS PLUS SÁCH CHÂN TRỜI SÁNG TẠO ...
BÀI TẬP DẠY THÊM TIẾNG ANH LỚP 7 CẢ NĂM FRIENDS PLUS SÁCH CHÂN TRỜI SÁNG TẠO ...BÀI TẬP DẠY THÊM TIẾNG ANH LỚP 7 CẢ NĂM FRIENDS PLUS SÁCH CHÂN TRỜI SÁNG TẠO ...
BÀI TẬP DẠY THÊM TIẾNG ANH LỚP 7 CẢ NĂM FRIENDS PLUS SÁCH CHÂN TRỜI SÁNG TẠO ...
Nguyen Thanh Tu Collection
 
B. Ed Syllabus for babasaheb ambedkar education university.pdf
B. Ed Syllabus for babasaheb ambedkar education university.pdfB. Ed Syllabus for babasaheb ambedkar education university.pdf
B. Ed Syllabus for babasaheb ambedkar education university.pdf
BoudhayanBhattachari
 
Philippine Edukasyong Pantahanan at Pangkabuhayan (EPP) Curriculum
Philippine Edukasyong Pantahanan at Pangkabuhayan (EPP) CurriculumPhilippine Edukasyong Pantahanan at Pangkabuhayan (EPP) Curriculum
Philippine Edukasyong Pantahanan at Pangkabuhayan (EPP) Curriculum
MJDuyan
 
Temple of Asclepius in Thrace. Excavation results
Temple of Asclepius in Thrace. Excavation resultsTemple of Asclepius in Thrace. Excavation results
Temple of Asclepius in Thrace. Excavation results
Krassimira Luka
 
Chapter wise All Notes of First year Basic Civil Engineering.pptx
Chapter wise All Notes of First year Basic Civil Engineering.pptxChapter wise All Notes of First year Basic Civil Engineering.pptx
Chapter wise All Notes of First year Basic Civil Engineering.pptx
Denish Jangid
 
ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...
ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...
ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...
PECB
 
RHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem students
RHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem studentsRHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem students
RHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem students
Himanshu Rai
 
How to Make a Field Mandatory in Odoo 17
How to Make a Field Mandatory in Odoo 17How to Make a Field Mandatory in Odoo 17
How to Make a Field Mandatory in Odoo 17
Celine George
 
Beyond Degrees - Empowering the Workforce in the Context of Skills-First.pptx
Beyond Degrees - Empowering the Workforce in the Context of Skills-First.pptxBeyond Degrees - Empowering the Workforce in the Context of Skills-First.pptx
Beyond Degrees - Empowering the Workforce in the Context of Skills-First.pptx
EduSkills OECD
 
IGCSE Biology Chapter 14- Reproduction in Plants.pdf
IGCSE Biology Chapter 14- Reproduction in Plants.pdfIGCSE Biology Chapter 14- Reproduction in Plants.pdf
IGCSE Biology Chapter 14- Reproduction in Plants.pdf
Amin Marwan
 
Pengantar Penggunaan Flutter - Dart programming language1.pptx
Pengantar Penggunaan Flutter - Dart programming language1.pptxPengantar Penggunaan Flutter - Dart programming language1.pptx
Pengantar Penggunaan Flutter - Dart programming language1.pptx
Fajar Baskoro
 
BBR 2024 Summer Sessions Interview Training
BBR  2024 Summer Sessions Interview TrainingBBR  2024 Summer Sessions Interview Training
BBR 2024 Summer Sessions Interview Training
Katrina Pritchard
 
Présentationvvvvvvvvvvvvvvvvvvvvvvvvvvvv2.pptx
Présentationvvvvvvvvvvvvvvvvvvvvvvvvvvvv2.pptxPrésentationvvvvvvvvvvvvvvvvvvvvvvvvvvvv2.pptx
Présentationvvvvvvvvvvvvvvvvvvvvvvvvvvvv2.pptx
siemaillard
 
Advanced Java[Extra Concepts, Not Difficult].docx
Advanced Java[Extra Concepts, Not Difficult].docxAdvanced Java[Extra Concepts, Not Difficult].docx
Advanced Java[Extra Concepts, Not Difficult].docx
adhitya5119
 
Gender and Mental Health - Counselling and Family Therapy Applications and In...
Gender and Mental Health - Counselling and Family Therapy Applications and In...Gender and Mental Health - Counselling and Family Therapy Applications and In...
Gender and Mental Health - Counselling and Family Therapy Applications and In...
PsychoTech Services
 
ANATOMY AND BIOMECHANICS OF HIP JOINT.pdf
ANATOMY AND BIOMECHANICS OF HIP JOINT.pdfANATOMY AND BIOMECHANICS OF HIP JOINT.pdf
ANATOMY AND BIOMECHANICS OF HIP JOINT.pdf
Priyankaranawat4
 
Leveraging Generative AI to Drive Nonprofit Innovation
Leveraging Generative AI to Drive Nonprofit InnovationLeveraging Generative AI to Drive Nonprofit Innovation
Leveraging Generative AI to Drive Nonprofit Innovation
TechSoup
 
math operations ued in python and all used
math operations ued in python and all usedmath operations ued in python and all used
math operations ued in python and all used
ssuser13ffe4
 

Recently uploaded (20)

spot a liar (Haiqa 146).pptx Technical writhing and presentation skills
spot a liar (Haiqa 146).pptx Technical writhing and presentation skillsspot a liar (Haiqa 146).pptx Technical writhing and presentation skills
spot a liar (Haiqa 146).pptx Technical writhing and presentation skills
 
writing about opinions about Australia the movie
writing about opinions about Australia the moviewriting about opinions about Australia the movie
writing about opinions about Australia the movie
 
BÀI TẬP DẠY THÊM TIẾNG ANH LỚP 7 CẢ NĂM FRIENDS PLUS SÁCH CHÂN TRỜI SÁNG TẠO ...
BÀI TẬP DẠY THÊM TIẾNG ANH LỚP 7 CẢ NĂM FRIENDS PLUS SÁCH CHÂN TRỜI SÁNG TẠO ...BÀI TẬP DẠY THÊM TIẾNG ANH LỚP 7 CẢ NĂM FRIENDS PLUS SÁCH CHÂN TRỜI SÁNG TẠO ...
BÀI TẬP DẠY THÊM TIẾNG ANH LỚP 7 CẢ NĂM FRIENDS PLUS SÁCH CHÂN TRỜI SÁNG TẠO ...
 
B. Ed Syllabus for babasaheb ambedkar education university.pdf
B. Ed Syllabus for babasaheb ambedkar education university.pdfB. Ed Syllabus for babasaheb ambedkar education university.pdf
B. Ed Syllabus for babasaheb ambedkar education university.pdf
 
Philippine Edukasyong Pantahanan at Pangkabuhayan (EPP) Curriculum
Philippine Edukasyong Pantahanan at Pangkabuhayan (EPP) CurriculumPhilippine Edukasyong Pantahanan at Pangkabuhayan (EPP) Curriculum
Philippine Edukasyong Pantahanan at Pangkabuhayan (EPP) Curriculum
 
Temple of Asclepius in Thrace. Excavation results
Temple of Asclepius in Thrace. Excavation resultsTemple of Asclepius in Thrace. Excavation results
Temple of Asclepius in Thrace. Excavation results
 
Chapter wise All Notes of First year Basic Civil Engineering.pptx
Chapter wise All Notes of First year Basic Civil Engineering.pptxChapter wise All Notes of First year Basic Civil Engineering.pptx
Chapter wise All Notes of First year Basic Civil Engineering.pptx
 
ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...
ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...
ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...
 
RHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem students
RHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem studentsRHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem students
RHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem students
 
How to Make a Field Mandatory in Odoo 17
How to Make a Field Mandatory in Odoo 17How to Make a Field Mandatory in Odoo 17
How to Make a Field Mandatory in Odoo 17
 
Beyond Degrees - Empowering the Workforce in the Context of Skills-First.pptx
Beyond Degrees - Empowering the Workforce in the Context of Skills-First.pptxBeyond Degrees - Empowering the Workforce in the Context of Skills-First.pptx
Beyond Degrees - Empowering the Workforce in the Context of Skills-First.pptx
 
IGCSE Biology Chapter 14- Reproduction in Plants.pdf
IGCSE Biology Chapter 14- Reproduction in Plants.pdfIGCSE Biology Chapter 14- Reproduction in Plants.pdf
IGCSE Biology Chapter 14- Reproduction in Plants.pdf
 
Pengantar Penggunaan Flutter - Dart programming language1.pptx
Pengantar Penggunaan Flutter - Dart programming language1.pptxPengantar Penggunaan Flutter - Dart programming language1.pptx
Pengantar Penggunaan Flutter - Dart programming language1.pptx
 
BBR 2024 Summer Sessions Interview Training
BBR  2024 Summer Sessions Interview TrainingBBR  2024 Summer Sessions Interview Training
BBR 2024 Summer Sessions Interview Training
 
Présentationvvvvvvvvvvvvvvvvvvvvvvvvvvvv2.pptx
Présentationvvvvvvvvvvvvvvvvvvvvvvvvvvvv2.pptxPrésentationvvvvvvvvvvvvvvvvvvvvvvvvvvvv2.pptx
Présentationvvvvvvvvvvvvvvvvvvvvvvvvvvvv2.pptx
 
Advanced Java[Extra Concepts, Not Difficult].docx
Advanced Java[Extra Concepts, Not Difficult].docxAdvanced Java[Extra Concepts, Not Difficult].docx
Advanced Java[Extra Concepts, Not Difficult].docx
 
Gender and Mental Health - Counselling and Family Therapy Applications and In...
Gender and Mental Health - Counselling and Family Therapy Applications and In...Gender and Mental Health - Counselling and Family Therapy Applications and In...
Gender and Mental Health - Counselling and Family Therapy Applications and In...
 
ANATOMY AND BIOMECHANICS OF HIP JOINT.pdf
ANATOMY AND BIOMECHANICS OF HIP JOINT.pdfANATOMY AND BIOMECHANICS OF HIP JOINT.pdf
ANATOMY AND BIOMECHANICS OF HIP JOINT.pdf
 
Leveraging Generative AI to Drive Nonprofit Innovation
Leveraging Generative AI to Drive Nonprofit InnovationLeveraging Generative AI to Drive Nonprofit Innovation
Leveraging Generative AI to Drive Nonprofit Innovation
 
math operations ued in python and all used
math operations ued in python and all usedmath operations ued in python and all used
math operations ued in python and all used
 

Distributed generation example_2 (1)

  • 1. Rev.1 Page 1 Distributed Generation – Example 2 Written for PSCAD v4.5 and v4.6. Simulation Setup Load the library (Battery_Model_v2.pslx) into PSCAD, then load the project DFIG_PV_Batt_Diesel20kV.pscx) into PSCAD. In the library Project Settings, link in the library file corresponding to your Fortran compiler. For example:  Display the library Project Settings (right-click on the library file, and select “Project Settings”):  When the Project Settings dialog displays, select the "Link” tab, and delete any text from the “Additional Static Library…” field:  Click on the “Browse” button, and browse to the .lib file corresponding to the Intel Fortran compiler that you will be compiling this project with. For example, If you are using Intel Fortran Version 15, select the .lib file in “ ” folder. Or If you are using GFortran version 4.2, select the .lib file in “ ” folder.  Select “OK” to apply these changes and close Project Settings.
  • 2. Distributed Generation – Example 2 Rev.1 Page 2 Select the compiler for PSCAD:  Display the Application Options dialog (display the PSCAD Start menu, and select “Options”).  In the Application Options dialog, select the “Dependencies” tab from the drop-down menu, and select the compiler. Select “OK” to apply the changes and close the dialog.
  • 3. Distributed Generation – Example 2 Rev.1 Page 3 The “project tree” shows the sub-modules in the simulation. Click on the modules to navigate between them. For example, to see the graphs and controls, click on the “DFIG_Detailed_Model_1” module or double-click on the module shown as follows (it can be found in the main canvas). The signals in the canvas can be traced using the “virtual wires” option as shown below. The simulation must be compiled to activate “virtual wires”. Copyright © 2018 Manitoba Hydro International Ltd. All Rights Reserved.