SlideShare a Scribd company logo
1 of 4
Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.283-286
283 | P a g e
FPGA Implementation of cache memory
Yogesh S. Watile *
, A. S. Khobragade**
*
(Student M.Tech, Deptt of Electronics (VLSI), PCE, Priyadarshini College of Engineering ,Nagpur , India)
**
(Professor, Deptt of Electronics (VLSI), Priyadarshini College of Engineering ,Nagpur , India)
Abstract
We describe cache memory design suitable for
use in FPGA-based cache controller and
processor. Cache systems are on-chip memory
element used to store data. Cache serves as a
buffer between a CPU and its main memory.
Cache memory is used to synchronize the data
transfer rate between CPU and main memory.
As cache memory closer to the micro processor,
it is faster than the RAM and main memory.The
advantage of storing data on cache, as compared
to RAM, is that it has faster retrieval times, but it
has disadvantage of on-chip energy consumption.
In term of detecting miss rate in cache memory
and less power consumption, The efficient cache
memory will proposed by this research work, by
implementation of cache memory on FPGA. We
believe that our implementation achieves low
complexity and low energy consumption in terms
of FPGA resource usage.
Keywords- cache Tag memory, cache controller,
counter, cache Tag comparator.
I. INTRODUCTION
Field-programmable gate arrays (FPGAs)
have recently been garnering attention for their
successful use in computing applications. Indeed,
recent work has shown that implementing
computations using FPGA hardware has the
potential to bring orders of magnitude improvement
in energy-efficiency and throughput vs. realizing
computations in software running on a conventional
processor. While a variety of different memory
architectures are possible in processor/accelerator
systems, a commonly-used approach is one where
data shared between the processor and accelerators
resides in a shared memory hierarchy comprised of
a cache and main memory. The advantage of such a
model is its simplicity, as cache coherency
mechanisms are not required despite this potential
limitation; we use the shared memory model as the
basis of our initial investigation, with our results
being applicable (in future) multi-cache
scenarios[1]. In our work, data shared among the
processor and parallel accelerators is to accessed
through a shared L1 cache, implemented using on-
FPGA memory.
Cache systems are on-chip memory
element used to store data. A cache controller is
used for tracking induced miss rate in cache
memory. Any data requested by microprocessor is
present in cache memory then the term is called
„cache hit‟. The advantage of storing data on cache,
as compared to RAM, is that it has faster retrieval
times, but it has disadvantage of on-chip energy
consumption. This paper deals with the design of
efficient cache memory for detecting miss rate in
cache memory and less power consumption. This
cache memory may used in future work to design
FPGA based cache controller.
II.SYSTEM ARCHITECTURE
OVERVIEW
Cache controller that communicates between
microprocessor and cache memory to carry out
memory related operations. The functionality of the
design is explained below.
Fig 1 - system block diagram
Cache controller receive address that
microprocessor wants to access Cache controller
looks for the address in L1 cache. If address present
in L1 cache the data from location is provided to
microprocessor via data bus. If the address not
found in L1 cache then cache miss will occur. Cache
controller then looks same address in cache L2. If
address present in L2 cache the data from location is
provided to microprocessor. The same data will
replace in cache L1. If the address not found in L2
cache then cache miss will occur.
In our paper work we design single cache
memory for detecting miss rate in cache memory
and less power consumption. This work will be used
in design of FPGA based 2-way, 4-way, 8-wayset
associative cache memory and used in cache
controller for tracking induced miss rate.
Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.283-286
284 | P a g e
III. DESIGNED WORK
In our designed work, we designed cache
memory L1 using FPGA. In future with reference to
designed work we can design set associative cache
memory as set associative cache strike a good
balance between lower miss rate and higher cost[5].
Cache memory consist Cache tag memory, cache
data memory, cache tag comparator and counter.
Our paper work deal with detection of cache misses.
We designed cache tag memory, cache tag
comparator and 10-bit counter and need not to
design cache data memory for detecting cache
misses as address is stored in tag memory and data
is stored data memory. Address Requested by
microprocessor is compared with address of data in
main memory those are stored in tag memory.
The cache tag memory stored address of data in
main memory. Cache tag memory is modelled using
behavioural style of modelling in VHDL (for
example: 22-bit cache tag memory).
Figure 2: cache tag memory
Figure 2 shows the diagram of cache tag memory of
22 bit. When „we‟=1 it performs writing operation
and „we‟=0 it performs reading operation. Data
stored in the tag memory will be a addresses of main
memory. The 10-bit counter used to generate 10 bit
address for writing and reading operation of cache
tag memory. 10-bit counter is modelled using
behavioural style of modelling in VHDL.
.
Figure 3: 10-bit Counter
Figure 3 shows the diagram of 10-bit counter. For
each clock pulse counter is incremented by one. 10-
bit counter provides 10-bit addresses to cache tag
memory during writing and reading operation. The
22-bit cache tag comparator Cache tag comparator is
used to compare address requested by
microprocessor and address stored in tag memory.
22-bit cache tag comparator is modelled using
behavioural style of modelling in VHDL.
Figure 4: 22-bit cache tag comparator
Figure 4 shows the diagram of 22-bit cache tag
comparator .22-bit Cache tag comparator is compare
22-bit address requested by microprocessor and 22-
bit address stored in tag memory. Address requested
by microprocessor is 32-bit out which 22-bit address
is used to compare with tag address.8-bit address is
used as a set address and 2-bit address is used as a
offset word address. To design set associative cache
memory, we have to make number of sets of such
cache memory which will be our future work.
Figure 5: physical memory address
The implementation of cache memory requires
cache tag memory, 10-bit counter and cache tag
comparator as shown in figure 6
IV: SIMULATION RESULTS
Figure 7 shows the simulation results of
cache memory. Cache memory is written in a
VHDL. modelsim platform is used for simulation.
To achieve the synthesis of cache memory, Xilinx
platform is used. as shown in simulation results it is
found that if address requested by microprocessor is
matched with the address stored in cache tag
memory then the cache hit will occurs, if not then
cache miss will occurs.
Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.283-286
285 | P a g e
Figure 6: cache memory
Figure 7: simulation results
VII. CONCLUSION
In this paper, we have presented design of
cache memory on FPGA for detecting cache miss.
Such an approach would be of great utility to many
modern embedded applications, for which both
high-performance and low-power are of great
importance. This cache memory may used in future
work to design FPGA based set associative cache
memory and cache controller for tracking the
induced miss rate in cache memory.
REFERENCES
[1] Jongsok Choi, Kevin Nam, Andrew Canis,
Jason Anderson, Stephen Brown, and
Tomasz Czajkowski, “Impact of Cache
Architecture and Interface on Performance
and Area of FPGA-Based
Processor/Parallel-Accelerator Systems”
ECE Department, University of Toronto,
Toronto, ON, Canada ,Altera Toronto
Technology Centre, Toronto, ON, Canada
Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.283-286
286 | P a g e
[2] Maciej kurek, ioannis ilkos, wayne luk,
“Customizable security-aware cache for
fpga-based soft processors”, Department
of computing, imperial college London
[3] Nawaf Almoosa, Yorai Wardi, and
Sudhakar Yalamanchili, Controller Design
for Tracking Induced Miss-Rates in Cache
Memories, 2010 8th IEEE International
Conference on Control and Automation
Xiamen, China, June 9-11, 2010
[4] Computer architecture and organisation :
John .p. Hayes(Mc Graw hill publication)
[5] Computer architecture: Forth Edition, John
L. hennessy and David A. Patterson.
[6] Vipin S. Bhure , Praveen R. Chakole,
“Design of Cache Controller for Multi-core
Processor System” international Journal of
Electronics and Computer Science
Engineering, ISSN: 2277-1956

More Related Content

Viewers also liked

Viewers also liked (20)

Bd33327330
Bd33327330Bd33327330
Bd33327330
 
Au33270273
Au33270273Au33270273
Au33270273
 
Ev33889894
Ev33889894Ev33889894
Ev33889894
 
Gz3312301235
Gz3312301235Gz3312301235
Gz3312301235
 
Ax33287291
Ax33287291Ax33287291
Ax33287291
 
Dy33753757
Dy33753757Dy33753757
Dy33753757
 
Cw33592595
Cw33592595Cw33592595
Cw33592595
 
Ci35478482
Ci35478482Ci35478482
Ci35478482
 
Ad32205207
Ad32205207Ad32205207
Ad32205207
 
Bg32373378
Bg32373378Bg32373378
Bg32373378
 
Y33133136
Y33133136Y33133136
Y33133136
 
Ii3314231428
Ii3314231428Ii3314231428
Ii3314231428
 
Ce35461464
Ce35461464Ce35461464
Ce35461464
 
Cl35491494
Cl35491494Cl35491494
Cl35491494
 
Ab33150154
Ab33150154Ab33150154
Ab33150154
 
Aa33146149
Aa33146149Aa33146149
Aa33146149
 
Cn32556558
Cn32556558Cn32556558
Cn32556558
 
SDS training Formación, desarrollo y futuro
SDS training Formación, desarrollo y futuroSDS training Formación, desarrollo y futuro
SDS training Formación, desarrollo y futuro
 
Introducao a exegese_e_hermeneutica_-_filosofias_e_heresias_do_novo_testamento
Introducao a exegese_e_hermeneutica_-_filosofias_e_heresias_do_novo_testamentoIntroducao a exegese_e_hermeneutica_-_filosofias_e_heresias_do_novo_testamento
Introducao a exegese_e_hermeneutica_-_filosofias_e_heresias_do_novo_testamento
 
Prototipo proyecto
Prototipo proyectoPrototipo proyecto
Prototipo proyecto
 

Similar to Aw33283286

Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...ijesajournal
 
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...ijesajournal
 
E24099025李宇洋_專題報告.pdf
E24099025李宇洋_專題報告.pdfE24099025李宇洋_專題報告.pdf
E24099025李宇洋_專題報告.pdfKerzPAry137
 
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURESREDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURESijcsit
 
Reducing Competitive Cache Misses in Modern Processor Architectures
Reducing Competitive Cache Misses in Modern Processor ArchitecturesReducing Competitive Cache Misses in Modern Processor Architectures
Reducing Competitive Cache Misses in Modern Processor ArchitecturesAIRCC Publishing Corporation
 
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURESREDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURESijcsit
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...IJIR JOURNALS IJIRUSA
 
An octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingAn octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingeSAT Journals
 
IRJET- Implementation of Mesi Protocol using Verilog
IRJET- Implementation of Mesi Protocol using VerilogIRJET- Implementation of Mesi Protocol using Verilog
IRJET- Implementation of Mesi Protocol using VerilogIRJET Journal
 
A Case for Kernels
A Case for KernelsA Case for Kernels
A Case for Kernelsijtsrd
 
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...AIRCC Publishing Corporation
 
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...AIRCC Publishing Corporation
 
151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...
151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...
151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...ijcsit
 
A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECK
A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECKA SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECK
A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECKAIRCC Publishing Corporation
 
Ca31317323
Ca31317323Ca31317323
Ca31317323IJMER
 
Coarse Grained Hybrid Reconfigurable Architecture with NoC Router for Variabl...
Coarse Grained Hybrid Reconfigurable Architecture with NoC Router for Variabl...Coarse Grained Hybrid Reconfigurable Architecture with NoC Router for Variabl...
Coarse Grained Hybrid Reconfigurable Architecture with NoC Router for Variabl...Dhiraj Chaudhary
 
Coarse grained hybrid reconfigurable architecture
Coarse grained hybrid reconfigurable architectureCoarse grained hybrid reconfigurable architecture
Coarse grained hybrid reconfigurable architectureDhiraj Chaudhary
 
Coarse grained hybrid reconfigurable architecture with no c router
Coarse grained hybrid reconfigurable architecture with no c routerCoarse grained hybrid reconfigurable architecture with no c router
Coarse grained hybrid reconfigurable architecture with no c routerDhiraj Chaudhary
 

Similar to Aw33283286 (20)

Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
 
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
 
E24099025李宇洋_專題報告.pdf
E24099025李宇洋_專題報告.pdfE24099025李宇洋_專題報告.pdf
E24099025李宇洋_專題報告.pdf
 
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURESREDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
 
Reducing Competitive Cache Misses in Modern Processor Architectures
Reducing Competitive Cache Misses in Modern Processor ArchitecturesReducing Competitive Cache Misses in Modern Processor Architectures
Reducing Competitive Cache Misses in Modern Processor Architectures
 
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURESREDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
REDUCING COMPETITIVE CACHE MISSES IN MODERN PROCESSOR ARCHITECTURES
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
 
An octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingAn octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passing
 
IRJET- Implementation of Mesi Protocol using Verilog
IRJET- Implementation of Mesi Protocol using VerilogIRJET- Implementation of Mesi Protocol using Verilog
IRJET- Implementation of Mesi Protocol using Verilog
 
A Case for Kernels
A Case for KernelsA Case for Kernels
A Case for Kernels
 
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
 
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
A Survey of Different Approaches for Overcoming the Processor - Memory Bottle...
 
151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...
151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...
151 A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTT...
 
A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECK
A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECKA SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECK
A SURVEY OF DIFFERENT APPROACHES FOR OVERCOMING THE PROCESSOR-MEMORY BOTTLENECK
 
Ca31317323
Ca31317323Ca31317323
Ca31317323
 
Cache memory
Cache memoryCache memory
Cache memory
 
Coarse Grained Hybrid Reconfigurable Architecture with NoC Router for Variabl...
Coarse Grained Hybrid Reconfigurable Architecture with NoC Router for Variabl...Coarse Grained Hybrid Reconfigurable Architecture with NoC Router for Variabl...
Coarse Grained Hybrid Reconfigurable Architecture with NoC Router for Variabl...
 
Coarse grained hybrid reconfigurable architecture
Coarse grained hybrid reconfigurable architectureCoarse grained hybrid reconfigurable architecture
Coarse grained hybrid reconfigurable architecture
 
Coarse grained hybrid reconfigurable architecture with no c router
Coarse grained hybrid reconfigurable architecture with no c routerCoarse grained hybrid reconfigurable architecture with no c router
Coarse grained hybrid reconfigurable architecture with no c router
 

Recently uploaded

Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsJoaquim Jorge
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024The Digital Insurer
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdfhans926745
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Scriptwesley chun
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking MenDelhi Call girls
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Enterprise Knowledge
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsMaria Levchenko
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024Results
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)wesley chun
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...Martijn de Jong
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUK Journal
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfEnterprise Knowledge
 
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxFactors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxKatpro Technologies
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024The Digital Insurer
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking MenDelhi Call girls
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CVKhem
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfsudhanshuwaghmare1
 

Recently uploaded (20)

Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Script
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
 
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxFactors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CV
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 

Aw33283286

  • 1. Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.283-286 283 | P a g e FPGA Implementation of cache memory Yogesh S. Watile * , A. S. Khobragade** * (Student M.Tech, Deptt of Electronics (VLSI), PCE, Priyadarshini College of Engineering ,Nagpur , India) ** (Professor, Deptt of Electronics (VLSI), Priyadarshini College of Engineering ,Nagpur , India) Abstract We describe cache memory design suitable for use in FPGA-based cache controller and processor. Cache systems are on-chip memory element used to store data. Cache serves as a buffer between a CPU and its main memory. Cache memory is used to synchronize the data transfer rate between CPU and main memory. As cache memory closer to the micro processor, it is faster than the RAM and main memory.The advantage of storing data on cache, as compared to RAM, is that it has faster retrieval times, but it has disadvantage of on-chip energy consumption. In term of detecting miss rate in cache memory and less power consumption, The efficient cache memory will proposed by this research work, by implementation of cache memory on FPGA. We believe that our implementation achieves low complexity and low energy consumption in terms of FPGA resource usage. Keywords- cache Tag memory, cache controller, counter, cache Tag comparator. I. INTRODUCTION Field-programmable gate arrays (FPGAs) have recently been garnering attention for their successful use in computing applications. Indeed, recent work has shown that implementing computations using FPGA hardware has the potential to bring orders of magnitude improvement in energy-efficiency and throughput vs. realizing computations in software running on a conventional processor. While a variety of different memory architectures are possible in processor/accelerator systems, a commonly-used approach is one where data shared between the processor and accelerators resides in a shared memory hierarchy comprised of a cache and main memory. The advantage of such a model is its simplicity, as cache coherency mechanisms are not required despite this potential limitation; we use the shared memory model as the basis of our initial investigation, with our results being applicable (in future) multi-cache scenarios[1]. In our work, data shared among the processor and parallel accelerators is to accessed through a shared L1 cache, implemented using on- FPGA memory. Cache systems are on-chip memory element used to store data. A cache controller is used for tracking induced miss rate in cache memory. Any data requested by microprocessor is present in cache memory then the term is called „cache hit‟. The advantage of storing data on cache, as compared to RAM, is that it has faster retrieval times, but it has disadvantage of on-chip energy consumption. This paper deals with the design of efficient cache memory for detecting miss rate in cache memory and less power consumption. This cache memory may used in future work to design FPGA based cache controller. II.SYSTEM ARCHITECTURE OVERVIEW Cache controller that communicates between microprocessor and cache memory to carry out memory related operations. The functionality of the design is explained below. Fig 1 - system block diagram Cache controller receive address that microprocessor wants to access Cache controller looks for the address in L1 cache. If address present in L1 cache the data from location is provided to microprocessor via data bus. If the address not found in L1 cache then cache miss will occur. Cache controller then looks same address in cache L2. If address present in L2 cache the data from location is provided to microprocessor. The same data will replace in cache L1. If the address not found in L2 cache then cache miss will occur. In our paper work we design single cache memory for detecting miss rate in cache memory and less power consumption. This work will be used in design of FPGA based 2-way, 4-way, 8-wayset associative cache memory and used in cache controller for tracking induced miss rate.
  • 2. Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.283-286 284 | P a g e III. DESIGNED WORK In our designed work, we designed cache memory L1 using FPGA. In future with reference to designed work we can design set associative cache memory as set associative cache strike a good balance between lower miss rate and higher cost[5]. Cache memory consist Cache tag memory, cache data memory, cache tag comparator and counter. Our paper work deal with detection of cache misses. We designed cache tag memory, cache tag comparator and 10-bit counter and need not to design cache data memory for detecting cache misses as address is stored in tag memory and data is stored data memory. Address Requested by microprocessor is compared with address of data in main memory those are stored in tag memory. The cache tag memory stored address of data in main memory. Cache tag memory is modelled using behavioural style of modelling in VHDL (for example: 22-bit cache tag memory). Figure 2: cache tag memory Figure 2 shows the diagram of cache tag memory of 22 bit. When „we‟=1 it performs writing operation and „we‟=0 it performs reading operation. Data stored in the tag memory will be a addresses of main memory. The 10-bit counter used to generate 10 bit address for writing and reading operation of cache tag memory. 10-bit counter is modelled using behavioural style of modelling in VHDL. . Figure 3: 10-bit Counter Figure 3 shows the diagram of 10-bit counter. For each clock pulse counter is incremented by one. 10- bit counter provides 10-bit addresses to cache tag memory during writing and reading operation. The 22-bit cache tag comparator Cache tag comparator is used to compare address requested by microprocessor and address stored in tag memory. 22-bit cache tag comparator is modelled using behavioural style of modelling in VHDL. Figure 4: 22-bit cache tag comparator Figure 4 shows the diagram of 22-bit cache tag comparator .22-bit Cache tag comparator is compare 22-bit address requested by microprocessor and 22- bit address stored in tag memory. Address requested by microprocessor is 32-bit out which 22-bit address is used to compare with tag address.8-bit address is used as a set address and 2-bit address is used as a offset word address. To design set associative cache memory, we have to make number of sets of such cache memory which will be our future work. Figure 5: physical memory address The implementation of cache memory requires cache tag memory, 10-bit counter and cache tag comparator as shown in figure 6 IV: SIMULATION RESULTS Figure 7 shows the simulation results of cache memory. Cache memory is written in a VHDL. modelsim platform is used for simulation. To achieve the synthesis of cache memory, Xilinx platform is used. as shown in simulation results it is found that if address requested by microprocessor is matched with the address stored in cache tag memory then the cache hit will occurs, if not then cache miss will occurs.
  • 3. Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.283-286 285 | P a g e Figure 6: cache memory Figure 7: simulation results VII. CONCLUSION In this paper, we have presented design of cache memory on FPGA for detecting cache miss. Such an approach would be of great utility to many modern embedded applications, for which both high-performance and low-power are of great importance. This cache memory may used in future work to design FPGA based set associative cache memory and cache controller for tracking the induced miss rate in cache memory. REFERENCES [1] Jongsok Choi, Kevin Nam, Andrew Canis, Jason Anderson, Stephen Brown, and Tomasz Czajkowski, “Impact of Cache Architecture and Interface on Performance and Area of FPGA-Based Processor/Parallel-Accelerator Systems” ECE Department, University of Toronto, Toronto, ON, Canada ,Altera Toronto Technology Centre, Toronto, ON, Canada
  • 4. Yogesh S. Watile, A. S. Khobragade / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.283-286 286 | P a g e [2] Maciej kurek, ioannis ilkos, wayne luk, “Customizable security-aware cache for fpga-based soft processors”, Department of computing, imperial college London [3] Nawaf Almoosa, Yorai Wardi, and Sudhakar Yalamanchili, Controller Design for Tracking Induced Miss-Rates in Cache Memories, 2010 8th IEEE International Conference on Control and Automation Xiamen, China, June 9-11, 2010 [4] Computer architecture and organisation : John .p. Hayes(Mc Graw hill publication) [5] Computer architecture: Forth Edition, John L. hennessy and David A. Patterson. [6] Vipin S. Bhure , Praveen R. Chakole, “Design of Cache Controller for Multi-core Processor System” international Journal of Electronics and Computer Science Engineering, ISSN: 2277-1956