SlideShare a Scribd company logo
1 of 11
Download to read offline
RANGKAIAN WATER LEVEL MONITORING
Untuk memenuhi tugas mata kuliah “Aljabar Boolean dan Rangkaian Logika”
Disusun Oleh :
Zufar Dhiyaulhaq ( NIM 1101164217 )
PROGRAM STUDI S1 TEKNIK TELEKOMUNIKASI
FAKULTAS TEKNIK ELEKTRO
UNIVERSITAS TELKOM
BANDUNG
2017
i
KATA PENGANTAR
Syukur Alhamdulillah saya ucapkan kehadirat Allah SWT. Atas limpahan rahmat dan
karunia-Nya sehingga saya dapat menyelesaikan laporan ini. Adapun tujuan dari
penyusunan laporan ini adalah salah satu syarat yang harus dipenuhi untuk mengikuti
UAS di Universitas Telkom.
Dengan terselesaikannya laporan ini, saya ingin menyampaikan terima kasih
semua pihak yang telah membantu dalam penyusunannya terutama kepada :
1. Bapak Dharu Arseno, S.T., M.T. Selaku dosen.
2. Laboratorium Teknik Digital yang telah mengenalkan lebih dalam tentang
VHDL.
3. Teman-teman dan semua pihak yang telah membantu di dalam proses
penyusunan laporan ini.
Semoga segala bantuan dan pengorbanannya mendapatkan balasan yang setimpal
dari Allah SWT, Amin. Akhirnya, saya berharap semoga dapat bermanfaat bagi semua
pihak.
Bandung 15 November, 2017
Penyusun
ii
DAFTAR ISI
KATA PENGANTAR...........................................................................................................i
DAFTAR ISI........................................................................................................................ii
DESKRIPSI RANGKAIAN............................................................................................... 1
PROGRAM VHDL..............................................................................................................4
GAMBAR RANGKAIAN..................................................................................................5
HASIL SIMULASI..............................................................................................................5
LAMPIRAN.........................................................................................................................8
1
DESKRIPSI RANGKAIAN
Program Rangkaian Water Level Monitoring adalah sebuah program yang
bertujuan untuk memonitor ketinggian air di sungai ataupun bendungan.
Program ini memiliki tiga masukan yaitu berupa bit-bit yang berasal dari sensor
yang sudah dipasang di bendungan ataupun sungai. Program ini akan memproses
masukan tersebut sedemikian rupa sehingga dapat menghasilkan bit-bit keluaran yang
dapat menyalakan lampu monitoring, mengirimkan pemberitahuan berupa SMS dan
juga menyalakan alarm tanda bahaya.
Jika sensor sudah mendeteksi bahwa air mencapai level tertentu, maka program
akan menyalakan lampu sebagai pemberitahuannya. Program ini mempunyai tiga
level pendeteksian, yaitu :
1. Level satu, merupakan level yang menandakan bahwa ketinggian air normal.
2. Level dua, level yang menandakan bahwa ketinggian air melebihi standar
keamanan, dan dapat dilakukan pemantauan secara intensif.
3. Level tiga, level yang menandakan bahwa harus segera dilakukan penanganan
dan evakuasi daerah sekitar.
2
Selain lampu, program akan mengirimkan SMS yang berupa SMS penanda
bahaya (warning sms) dan SMS untuk melakukan evakuasi (danger sms) dan
membunyikan alarm.
Deskripsi Lampu :
1. Lampu merah sebagai penanda bahaya.
2. Lampu kuning sebagai peringatan.
3. Lampu hijau sebagai penanda aman.
Tabel Kebenaran
K-MAP Keluaran
lamp_green
y=A'B'+A'C'
lamp_yellow
y = AB' + AC' + A'BC
Input Output
level_3 level_2 level_1 lamp_green lamp_yellow Lamp_red Alarm sms_danger sms_warning
0 0 0 1 0 0 0 0 0
0 0 1 1 0 0 0 0 0
0 1 0 1 0 0 0 0 0
0 1 1 0 1 0 0 0 1
1 0 0 0 1 0 0 0 0
1 0 1 0 1 0 0 0 0
1 1 0 0 1 0 0 0 0
1 1 1 0 0 1 1 1 0
3
lamp_red alarm
y= ABC y= ABC
sms_danger sms_warning
y= ABC y= A’BC
Catatan :
1. A merupakan level 3
2. B merupakan level 2
3. C merupakan level 1
4
PROGRAM VHDL
library ieee;
use ieee.std_logic_1164.all;
entity water_level is
port (
level_1 : in std_logic;
level_2 : in std_logic;
level_3 : in std_logic;
lamp_green : out std_logic;
lamp_yellow : out std_logic;
lamp_red : out std_logic;
alarm : out std_logic;
sms_danger : out std_logic;
sms_warning : out std_logic
);
end water_level;
architecture program of water_level is
begin
lamp_red <= level_1 and level_2 and level_3;
alarm <= level_1 and level_2 and level_3;
sms_danger <= level_1 and level_2 and level_3;
lamp_yellow <= (level_3 and not level_2) or (level_3 and not level_1) or (not
level_3 and level_2 and level_1);
lamp_green <= (not level_3 and not level_2) or (not level_3 and not level_1);
sms_warning <= level_1 and level_2 and not level_3;
end program;
5
GAMBAR RANGKAIAN
Gambar rangkaian ini didapatkan dengan menggunakan perangkat lunak Quartus
II. Untuk mendapatkan gambar rangkaian ini, Compile terlebih dahulu program yang
sudah dibuat, lalu pergi ke menu Tools > Netlist Viewers > RTL Viewer.
HASIL SIMULASI
Hasil simulasi ini didapatkan dengan menggunakan perangkat lunak Quartus II.
Input yang dimasukan adalah input dari sensor. Untuk menjalankan simulasi, program
harus terlebih dahulu di compile. Lalu buat file baru dengan File > New > University
Program VWF.
6
Lalu tambahkan node yang berupa input dan outputnya dengan pergi kemenu
Edit > Insert > Insert node or bus.
Pada Node Finder, pilih list lalu masukan semua nodenya.
atur inputnya terlebih dahulu. Input akan diatur sehingga memenuhi semua tabel
kebenaran yang telah dibuat.
7
Jika sudah, lakukan simulasi dengan Simulation > Run Fungtional Simulation.
Maka hasil simulasi akan keluar untuk semua outputnya.
Hasil simulasi akan sama dengan tabel kebenaran yang sudah dibuat, contohnya
adalah lampu hijau (lamp_green) akan menyala jika sensor tidak mendeteksi air,
ataupun sensor mendeteksi air pada sensor level 1 ataupun hanya level 2.
8
LAMPIRAN
Jenis Tipe Data VHDL
VHDL mempunyai beberapa standar tipe data. Tipe data ini terbagi menjadi tipe
data predefined dan tipe data buatan standar.
Tipe data predefined adalah tipe predefined adalah tipe data yang sudah ada
didalam bahasa VHDL. Contoh data tipe data ini adalah BIT, BOOLEAN, dan
INTEGER.
BIT Tipe data bit adalah tipe data yang hanya berisi dua angka, yaitu
0 dan 1.
Contoh :
signal BitSig : bit;
BitSig1 <= '1';
BOOLEAN Tipe data boolean adalah tipe data yang hanya berisi dua kata,
yaitu false dan true.
Contoh :
signal CondSup : boolean;
CondSup <= true;
INTEGER Tipe data integer pada VHDL adalah tipe data yang berisi angka
integer dengan jarak tertentu.
Contoh :
type Voltage_Level is range 0 to 5;
Tipe data buatan standar adalah tipe data yang dibuat atau didefinisikan pada
standar tertentu. Contohnya STD_LOGIC ataupun STD_LOGIC_VECTOR yang
merupakan tipe data standar IEEE yang didefinisikan pada library
STD_LOGIC_1164.

More Related Content

What's hot

Teknik presentasi bisnis yang efektif di depan investor
Teknik presentasi bisnis yang efektif di depan investorTeknik presentasi bisnis yang efektif di depan investor
Teknik presentasi bisnis yang efektif di depan investorFaztrack Consulting
 
Modul 1 strategi dan kebijakan ti -2012
Modul 1 strategi dan kebijakan ti -2012Modul 1 strategi dan kebijakan ti -2012
Modul 1 strategi dan kebijakan ti -2012Ir. Zakaria, M.M
 
Laporan praktikum v visual basic
Laporan praktikum v visual basicLaporan praktikum v visual basic
Laporan praktikum v visual basicrahmi wahyuni
 
Analisis kasus PT krakatau steel
Analisis kasus PT krakatau steelAnalisis kasus PT krakatau steel
Analisis kasus PT krakatau steelHafiz Rahmaputra
 
Pertemuan 2 & 3 distribusi frekuensi
Pertemuan 2 & 3 distribusi frekuensiPertemuan 2 & 3 distribusi frekuensi
Pertemuan 2 & 3 distribusi frekuensiSurya Negara
 
Makalah Pengujian Hipotesis
Makalah Pengujian HipotesisMakalah Pengujian Hipotesis
Makalah Pengujian HipotesisGhian Velina
 
Soal dan jawaban UTS MK Pancasila Prodi Adm Negara Semester Ganjil
Soal dan jawaban UTS MK Pancasila Prodi Adm Negara Semester GanjilSoal dan jawaban UTS MK Pancasila Prodi Adm Negara Semester Ganjil
Soal dan jawaban UTS MK Pancasila Prodi Adm Negara Semester Ganjilahmad sururi
 
CONTOH SOAL KASUS IMPLEMENTASI SIKLUS AKUNTANSI PERUSAHAAN JASA
CONTOH SOAL  KASUS IMPLEMENTASI SIKLUS AKUNTANSI PERUSAHAAN JASACONTOH SOAL  KASUS IMPLEMENTASI SIKLUS AKUNTANSI PERUSAHAAN JASA
CONTOH SOAL KASUS IMPLEMENTASI SIKLUS AKUNTANSI PERUSAHAAN JASAfitrahusaid
 
Presentasi Etika Profesi Akuntansi
Presentasi Etika Profesi AkuntansiPresentasi Etika Profesi Akuntansi
Presentasi Etika Profesi Akuntansirizky02
 
Panduan olah data spss
Panduan olah data spssPanduan olah data spss
Panduan olah data spssMedian Agus P
 
Perencanaan modal koperasi
Perencanaan modal koperasiPerencanaan modal koperasi
Perencanaan modal koperasisabat hermawan
 
Praktikum i arduino dengan proteus
Praktikum i arduino dengan proteusPraktikum i arduino dengan proteus
Praktikum i arduino dengan proteusFarichah Riha
 
17. modul statistik pak sukani
17. modul statistik pak sukani17. modul statistik pak sukani
17. modul statistik pak sukanisukani
 
Akuntansi persediaan,kelompok 2
Akuntansi persediaan,kelompok 2Akuntansi persediaan,kelompok 2
Akuntansi persediaan,kelompok 2Aina Rachmasari
 
Modul Mikrokontroler - Bab 3. Pemrograman Mikrokontroler
Modul Mikrokontroler - Bab 3. Pemrograman MikrokontrolerModul Mikrokontroler - Bab 3. Pemrograman Mikrokontroler
Modul Mikrokontroler - Bab 3. Pemrograman MikrokontrolerBeny Abd
 

What's hot (20)

Teknik presentasi bisnis yang efektif di depan investor
Teknik presentasi bisnis yang efektif di depan investorTeknik presentasi bisnis yang efektif di depan investor
Teknik presentasi bisnis yang efektif di depan investor
 
Modul 1 strategi dan kebijakan ti -2012
Modul 1 strategi dan kebijakan ti -2012Modul 1 strategi dan kebijakan ti -2012
Modul 1 strategi dan kebijakan ti -2012
 
Worksheet jasa
Worksheet jasaWorksheet jasa
Worksheet jasa
 
Distribusi normal
Distribusi normalDistribusi normal
Distribusi normal
 
Laporan praktikum v visual basic
Laporan praktikum v visual basicLaporan praktikum v visual basic
Laporan praktikum v visual basic
 
Analisis kasus PT krakatau steel
Analisis kasus PT krakatau steelAnalisis kasus PT krakatau steel
Analisis kasus PT krakatau steel
 
Latihan kas kecil
Latihan kas kecilLatihan kas kecil
Latihan kas kecil
 
Pertemuan 2 & 3 distribusi frekuensi
Pertemuan 2 & 3 distribusi frekuensiPertemuan 2 & 3 distribusi frekuensi
Pertemuan 2 & 3 distribusi frekuensi
 
Makalah Pengujian Hipotesis
Makalah Pengujian HipotesisMakalah Pengujian Hipotesis
Makalah Pengujian Hipotesis
 
Soal dan jawaban UTS MK Pancasila Prodi Adm Negara Semester Ganjil
Soal dan jawaban UTS MK Pancasila Prodi Adm Negara Semester GanjilSoal dan jawaban UTS MK Pancasila Prodi Adm Negara Semester Ganjil
Soal dan jawaban UTS MK Pancasila Prodi Adm Negara Semester Ganjil
 
Probabilitas 2
Probabilitas 2Probabilitas 2
Probabilitas 2
 
CONTOH SOAL KASUS IMPLEMENTASI SIKLUS AKUNTANSI PERUSAHAAN JASA
CONTOH SOAL  KASUS IMPLEMENTASI SIKLUS AKUNTANSI PERUSAHAAN JASACONTOH SOAL  KASUS IMPLEMENTASI SIKLUS AKUNTANSI PERUSAHAAN JASA
CONTOH SOAL KASUS IMPLEMENTASI SIKLUS AKUNTANSI PERUSAHAAN JASA
 
Presentasi Etika Profesi Akuntansi
Presentasi Etika Profesi AkuntansiPresentasi Etika Profesi Akuntansi
Presentasi Etika Profesi Akuntansi
 
Panduan olah data spss
Panduan olah data spssPanduan olah data spss
Panduan olah data spss
 
Pertemuan 1 matematika ekonomi
Pertemuan 1 matematika ekonomiPertemuan 1 matematika ekonomi
Pertemuan 1 matematika ekonomi
 
Perencanaan modal koperasi
Perencanaan modal koperasiPerencanaan modal koperasi
Perencanaan modal koperasi
 
Praktikum i arduino dengan proteus
Praktikum i arduino dengan proteusPraktikum i arduino dengan proteus
Praktikum i arduino dengan proteus
 
17. modul statistik pak sukani
17. modul statistik pak sukani17. modul statistik pak sukani
17. modul statistik pak sukani
 
Akuntansi persediaan,kelompok 2
Akuntansi persediaan,kelompok 2Akuntansi persediaan,kelompok 2
Akuntansi persediaan,kelompok 2
 
Modul Mikrokontroler - Bab 3. Pemrograman Mikrokontroler
Modul Mikrokontroler - Bab 3. Pemrograman MikrokontrolerModul Mikrokontroler - Bab 3. Pemrograman Mikrokontroler
Modul Mikrokontroler - Bab 3. Pemrograman Mikrokontroler
 

Similar to Makalah Aljabar Boolean dan Rangkaian Logika

PID Implementation on Octave
PID Implementation on OctavePID Implementation on Octave
PID Implementation on OctaveLusiana Diyan
 
(Internet of things) sensor temperatur suhu - Arduino
(Internet of things) sensor temperatur suhu - Arduino(Internet of things) sensor temperatur suhu - Arduino
(Internet of things) sensor temperatur suhu - ArduinoJefriansyah ~
 
Data Logging Tegangan melalui Komunikasi Serial
Data Logging Tegangan melalui Komunikasi SerialData Logging Tegangan melalui Komunikasi Serial
Data Logging Tegangan melalui Komunikasi SerialNur Kholifah Hidayah
 
Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...
Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...
Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...DestiNuraeni
 
Dasar-Pemrograman-Modul-1-Pengenalan-Pemrograman.pdf
Dasar-Pemrograman-Modul-1-Pengenalan-Pemrograman.pdfDasar-Pemrograman-Modul-1-Pengenalan-Pemrograman.pdf
Dasar-Pemrograman-Modul-1-Pengenalan-Pemrograman.pdfRizaJr
 
Modul algoritma satu sampai lima
Modul algoritma satu sampai limaModul algoritma satu sampai lima
Modul algoritma satu sampai limaAhmad S
 
makalah-termometer-digital
makalah-termometer-digitalmakalah-termometer-digital
makalah-termometer-digitalRendy Wahyudi
 
Aplikasi komunikasi serial mikrokontroller
Aplikasi komunikasi serial mikrokontrollerAplikasi komunikasi serial mikrokontroller
Aplikasi komunikasi serial mikrokontrollerWeldan Kusuf
 
Sistem Kendali suhu ruangan dengan pid backward difference berbasis arduino, ...
Sistem Kendali suhu ruangan dengan pid backward difference berbasis arduino, ...Sistem Kendali suhu ruangan dengan pid backward difference berbasis arduino, ...
Sistem Kendali suhu ruangan dengan pid backward difference berbasis arduino, ...kun_aldi
 
Octave dan Mikrokontroller Communication
Octave dan Mikrokontroller CommunicationOctave dan Mikrokontroller Communication
Octave dan Mikrokontroller CommunicationLusiana Diyan
 
PENGENALAN SSISTEM OPERASI, IDE VISUAL C++, DAN ALGORITMA PEMROGRAMAN
PENGENALAN SSISTEM OPERASI, IDE VISUAL C++, DAN ALGORITMA PEMROGRAMANPENGENALAN SSISTEM OPERASI, IDE VISUAL C++, DAN ALGORITMA PEMROGRAMAN
PENGENALAN SSISTEM OPERASI, IDE VISUAL C++, DAN ALGORITMA PEMROGRAMANNur Kholifah Hidayah
 
Laporan elektronoka & instrumentasi
Laporan elektronoka & instrumentasiLaporan elektronoka & instrumentasi
Laporan elektronoka & instrumentasiAfif Demagic
 
ALAT UKUR wahyu s-smk pgri 3.pptx
ALAT UKUR wahyu s-smk pgri 3.pptxALAT UKUR wahyu s-smk pgri 3.pptx
ALAT UKUR wahyu s-smk pgri 3.pptxwahyu935425
 
Soalunproduktiftkj2014paketb 140416092115-phpapp02
Soalunproduktiftkj2014paketb 140416092115-phpapp02Soalunproduktiftkj2014paketb 140416092115-phpapp02
Soalunproduktiftkj2014paketb 140416092115-phpapp02Darmawan Sutanto
 
UAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdf
UAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdfUAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdf
UAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdfHendroGunawan8
 

Similar to Makalah Aljabar Boolean dan Rangkaian Logika (20)

PID Implementation on Octave
PID Implementation on OctavePID Implementation on Octave
PID Implementation on Octave
 
(Internet of things) sensor temperatur suhu - Arduino
(Internet of things) sensor temperatur suhu - Arduino(Internet of things) sensor temperatur suhu - Arduino
(Internet of things) sensor temperatur suhu - Arduino
 
Data Logging Tegangan melalui Komunikasi Serial
Data Logging Tegangan melalui Komunikasi SerialData Logging Tegangan melalui Komunikasi Serial
Data Logging Tegangan melalui Komunikasi Serial
 
Makalah Sinyal digital dan analog
Makalah Sinyal digital dan analogMakalah Sinyal digital dan analog
Makalah Sinyal digital dan analog
 
Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...
Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...
Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...
 
Laporan led
Laporan ledLaporan led
Laporan led
 
Dasar-Pemrograman-Modul-1-Pengenalan-Pemrograman.pdf
Dasar-Pemrograman-Modul-1-Pengenalan-Pemrograman.pdfDasar-Pemrograman-Modul-1-Pengenalan-Pemrograman.pdf
Dasar-Pemrograman-Modul-1-Pengenalan-Pemrograman.pdf
 
Modul algoritma satu sampai lima
Modul algoritma satu sampai limaModul algoritma satu sampai lima
Modul algoritma satu sampai lima
 
makalah-termometer-digital
makalah-termometer-digitalmakalah-termometer-digital
makalah-termometer-digital
 
Aplikasi komunikasi serial mikrokontroller
Aplikasi komunikasi serial mikrokontrollerAplikasi komunikasi serial mikrokontroller
Aplikasi komunikasi serial mikrokontroller
 
Trafic light[1]
Trafic light[1]Trafic light[1]
Trafic light[1]
 
Microcontroller
MicrocontrollerMicrocontroller
Microcontroller
 
Sistem Kendali suhu ruangan dengan pid backward difference berbasis arduino, ...
Sistem Kendali suhu ruangan dengan pid backward difference berbasis arduino, ...Sistem Kendali suhu ruangan dengan pid backward difference berbasis arduino, ...
Sistem Kendali suhu ruangan dengan pid backward difference berbasis arduino, ...
 
Octave dan Mikrokontroller Communication
Octave dan Mikrokontroller CommunicationOctave dan Mikrokontroller Communication
Octave dan Mikrokontroller Communication
 
PENGENALAN SSISTEM OPERASI, IDE VISUAL C++, DAN ALGORITMA PEMROGRAMAN
PENGENALAN SSISTEM OPERASI, IDE VISUAL C++, DAN ALGORITMA PEMROGRAMANPENGENALAN SSISTEM OPERASI, IDE VISUAL C++, DAN ALGORITMA PEMROGRAMAN
PENGENALAN SSISTEM OPERASI, IDE VISUAL C++, DAN ALGORITMA PEMROGRAMAN
 
Laporan praktikum dpk modul 1
Laporan praktikum dpk modul 1Laporan praktikum dpk modul 1
Laporan praktikum dpk modul 1
 
Laporan elektronoka & instrumentasi
Laporan elektronoka & instrumentasiLaporan elektronoka & instrumentasi
Laporan elektronoka & instrumentasi
 
ALAT UKUR wahyu s-smk pgri 3.pptx
ALAT UKUR wahyu s-smk pgri 3.pptxALAT UKUR wahyu s-smk pgri 3.pptx
ALAT UKUR wahyu s-smk pgri 3.pptx
 
Soalunproduktiftkj2014paketb 140416092115-phpapp02
Soalunproduktiftkj2014paketb 140416092115-phpapp02Soalunproduktiftkj2014paketb 140416092115-phpapp02
Soalunproduktiftkj2014paketb 140416092115-phpapp02
 
UAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdf
UAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdfUAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdf
UAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdf
 

More from Zufar Dhiyaulhaq

Laporan Geladi Telkom University 2018
Laporan Geladi Telkom University 2018Laporan Geladi Telkom University 2018
Laporan Geladi Telkom University 2018Zufar Dhiyaulhaq
 
Laporan Kerja Praktik Telkom University 2019
Laporan Kerja Praktik Telkom University 2019Laporan Kerja Praktik Telkom University 2019
Laporan Kerja Praktik Telkom University 2019Zufar Dhiyaulhaq
 
SONA: SDN based OpenStack Networking
SONA: SDN based OpenStack NetworkingSONA: SDN based OpenStack Networking
SONA: SDN based OpenStack NetworkingZufar Dhiyaulhaq
 
Building ONOS Cluster in top of openSUSE
Building ONOS Cluster in top of openSUSEBuilding ONOS Cluster in top of openSUSE
Building ONOS Cluster in top of openSUSEZufar Dhiyaulhaq
 
LAPORAN KERJA PROYEK E-LEARNING
LAPORAN KERJA PROYEK E-LEARNINGLAPORAN KERJA PROYEK E-LEARNING
LAPORAN KERJA PROYEK E-LEARNINGZufar Dhiyaulhaq
 
Advantages using Internet of Things
Advantages using Internet of ThingsAdvantages using Internet of Things
Advantages using Internet of ThingsZufar Dhiyaulhaq
 
Introduction to GNS3 and EVE-NG
Introduction to GNS3 and EVE-NGIntroduction to GNS3 and EVE-NG
Introduction to GNS3 and EVE-NGZufar Dhiyaulhaq
 
Rangkuman UAS JTPT Telkom University
Rangkuman UAS JTPT Telkom UniversityRangkuman UAS JTPT Telkom University
Rangkuman UAS JTPT Telkom UniversityZufar Dhiyaulhaq
 
Rangkuman UTS JTPT Telkom University
Rangkuman UTS JTPT Telkom UniversityRangkuman UTS JTPT Telkom University
Rangkuman UTS JTPT Telkom UniversityZufar Dhiyaulhaq
 
Rangkuman UAS Ekonomi Teknik Telkom University
Rangkuman UAS Ekonomi Teknik Telkom UniversityRangkuman UAS Ekonomi Teknik Telkom University
Rangkuman UAS Ekonomi Teknik Telkom UniversityZufar Dhiyaulhaq
 
Rangkuman UTS Ekonomi Teknik Telkom University
Rangkuman UTS Ekonomi Teknik Telkom UniversityRangkuman UTS Ekonomi Teknik Telkom University
Rangkuman UTS Ekonomi Teknik Telkom UniversityZufar Dhiyaulhaq
 
Analisis Bisnis Vertical Farming di Indonesia
Analisis Bisnis Vertical Farming di IndonesiaAnalisis Bisnis Vertical Farming di Indonesia
Analisis Bisnis Vertical Farming di IndonesiaZufar Dhiyaulhaq
 
Analisis Bisnis Vertical Farming di Indonesia
Analisis Bisnis Vertical Farming di IndonesiaAnalisis Bisnis Vertical Farming di Indonesia
Analisis Bisnis Vertical Farming di IndonesiaZufar Dhiyaulhaq
 

More from Zufar Dhiyaulhaq (13)

Laporan Geladi Telkom University 2018
Laporan Geladi Telkom University 2018Laporan Geladi Telkom University 2018
Laporan Geladi Telkom University 2018
 
Laporan Kerja Praktik Telkom University 2019
Laporan Kerja Praktik Telkom University 2019Laporan Kerja Praktik Telkom University 2019
Laporan Kerja Praktik Telkom University 2019
 
SONA: SDN based OpenStack Networking
SONA: SDN based OpenStack NetworkingSONA: SDN based OpenStack Networking
SONA: SDN based OpenStack Networking
 
Building ONOS Cluster in top of openSUSE
Building ONOS Cluster in top of openSUSEBuilding ONOS Cluster in top of openSUSE
Building ONOS Cluster in top of openSUSE
 
LAPORAN KERJA PROYEK E-LEARNING
LAPORAN KERJA PROYEK E-LEARNINGLAPORAN KERJA PROYEK E-LEARNING
LAPORAN KERJA PROYEK E-LEARNING
 
Advantages using Internet of Things
Advantages using Internet of ThingsAdvantages using Internet of Things
Advantages using Internet of Things
 
Introduction to GNS3 and EVE-NG
Introduction to GNS3 and EVE-NGIntroduction to GNS3 and EVE-NG
Introduction to GNS3 and EVE-NG
 
Rangkuman UAS JTPT Telkom University
Rangkuman UAS JTPT Telkom UniversityRangkuman UAS JTPT Telkom University
Rangkuman UAS JTPT Telkom University
 
Rangkuman UTS JTPT Telkom University
Rangkuman UTS JTPT Telkom UniversityRangkuman UTS JTPT Telkom University
Rangkuman UTS JTPT Telkom University
 
Rangkuman UAS Ekonomi Teknik Telkom University
Rangkuman UAS Ekonomi Teknik Telkom UniversityRangkuman UAS Ekonomi Teknik Telkom University
Rangkuman UAS Ekonomi Teknik Telkom University
 
Rangkuman UTS Ekonomi Teknik Telkom University
Rangkuman UTS Ekonomi Teknik Telkom UniversityRangkuman UTS Ekonomi Teknik Telkom University
Rangkuman UTS Ekonomi Teknik Telkom University
 
Analisis Bisnis Vertical Farming di Indonesia
Analisis Bisnis Vertical Farming di IndonesiaAnalisis Bisnis Vertical Farming di Indonesia
Analisis Bisnis Vertical Farming di Indonesia
 
Analisis Bisnis Vertical Farming di Indonesia
Analisis Bisnis Vertical Farming di IndonesiaAnalisis Bisnis Vertical Farming di Indonesia
Analisis Bisnis Vertical Farming di Indonesia
 

Recently uploaded

Kanvas BAGJA prakarsa perubahan Ahyar.pdf
Kanvas BAGJA prakarsa perubahan Ahyar.pdfKanvas BAGJA prakarsa perubahan Ahyar.pdf
Kanvas BAGJA prakarsa perubahan Ahyar.pdfAkhyar33
 
LATAR BELAKANG JURNAL DIALOGIS REFLEKTIF.ppt
LATAR BELAKANG JURNAL DIALOGIS REFLEKTIF.pptLATAR BELAKANG JURNAL DIALOGIS REFLEKTIF.ppt
LATAR BELAKANG JURNAL DIALOGIS REFLEKTIF.pptPpsSambirejo
 
Membuat Komik Digital Berisi Kritik Sosial.docx
Membuat Komik Digital Berisi Kritik Sosial.docxMembuat Komik Digital Berisi Kritik Sosial.docx
Membuat Komik Digital Berisi Kritik Sosial.docxNurindahSetyawati1
 
power point bahasa indonesia "Karya Ilmiah"
power point bahasa indonesia "Karya Ilmiah"power point bahasa indonesia "Karya Ilmiah"
power point bahasa indonesia "Karya Ilmiah"baimmuhammad71
 
Pendidikan-Bahasa-Indonesia-di-SD MODUL 3 .pptx
Pendidikan-Bahasa-Indonesia-di-SD MODUL 3 .pptxPendidikan-Bahasa-Indonesia-di-SD MODUL 3 .pptx
Pendidikan-Bahasa-Indonesia-di-SD MODUL 3 .pptxdeskaputriani1
 
MAKALAH KELOMPOK 7 ADMINISTRASI LAYANAN KHUSUS.pdf
MAKALAH KELOMPOK 7 ADMINISTRASI LAYANAN KHUSUS.pdfMAKALAH KELOMPOK 7 ADMINISTRASI LAYANAN KHUSUS.pdf
MAKALAH KELOMPOK 7 ADMINISTRASI LAYANAN KHUSUS.pdfChananMfd
 
DEMONSTRASI KONTEKSTUAL MODUL 1.3 CGP 10.pptx
DEMONSTRASI KONTEKSTUAL MODUL 1.3 CGP 10.pptxDEMONSTRASI KONTEKSTUAL MODUL 1.3 CGP 10.pptx
DEMONSTRASI KONTEKSTUAL MODUL 1.3 CGP 10.pptxwawan479953
 
PELAKSANAAN + Link2 Materi BimTek _PTK 007 Rev-5 Thn 2023 (PENGADAAN) & Perhi...
PELAKSANAAN + Link2 Materi BimTek _PTK 007 Rev-5 Thn 2023 (PENGADAAN) & Perhi...PELAKSANAAN + Link2 Materi BimTek _PTK 007 Rev-5 Thn 2023 (PENGADAAN) & Perhi...
PELAKSANAAN + Link2 Materi BimTek _PTK 007 Rev-5 Thn 2023 (PENGADAAN) & Perhi...Kanaidi ken
 
Tim Yang Lolos Pendanaan Hibah Kepedulian pada Masyarakat UI 2024
Tim Yang Lolos Pendanaan Hibah Kepedulian pada Masyarakat  UI 2024Tim Yang Lolos Pendanaan Hibah Kepedulian pada Masyarakat  UI 2024
Tim Yang Lolos Pendanaan Hibah Kepedulian pada Masyarakat UI 2024editwebsitesubdit
 
Stoikiometri kelas 10 kurikulum Merdeka.ppt
Stoikiometri kelas 10 kurikulum Merdeka.pptStoikiometri kelas 10 kurikulum Merdeka.ppt
Stoikiometri kelas 10 kurikulum Merdeka.pptannanurkhasanah2
 
Aksi Nyata PMM Topik Refleksi Diri (1).pdf
Aksi Nyata PMM Topik Refleksi Diri (1).pdfAksi Nyata PMM Topik Refleksi Diri (1).pdf
Aksi Nyata PMM Topik Refleksi Diri (1).pdfEniNuraeni29
 
HAK DAN KEWAJIBAN WARGA NEGARA ppkn i.ppt
HAK DAN KEWAJIBAN WARGA NEGARA ppkn i.pptHAK DAN KEWAJIBAN WARGA NEGARA ppkn i.ppt
HAK DAN KEWAJIBAN WARGA NEGARA ppkn i.pptnabilafarahdiba95
 
Lingkungan bawah airLingkungan bawah air.ppt
Lingkungan bawah airLingkungan bawah air.pptLingkungan bawah airLingkungan bawah air.ppt
Lingkungan bawah airLingkungan bawah air.pptimamshadiqin2
 
Prakarsa Perubahan ATAP (Awal - Tantangan - Aksi - Perubahan)
Prakarsa Perubahan ATAP (Awal - Tantangan - Aksi - Perubahan)Prakarsa Perubahan ATAP (Awal - Tantangan - Aksi - Perubahan)
Prakarsa Perubahan ATAP (Awal - Tantangan - Aksi - Perubahan)MustahalMustahal
 
CAPACITY BUILDING Materi Saat di Lokakarya 7
CAPACITY BUILDING Materi Saat di Lokakarya 7CAPACITY BUILDING Materi Saat di Lokakarya 7
CAPACITY BUILDING Materi Saat di Lokakarya 7IwanSumantri7
 
BAB 5 KERJASAMA DALAM BERBAGAI BIDANG KEHIDUPAN.pptx
BAB 5 KERJASAMA DALAM BERBAGAI BIDANG KEHIDUPAN.pptxBAB 5 KERJASAMA DALAM BERBAGAI BIDANG KEHIDUPAN.pptx
BAB 5 KERJASAMA DALAM BERBAGAI BIDANG KEHIDUPAN.pptxJuliBriana2
 
Membaca dengan Metode Fonik - Membuat Rancangan Pembelajaran dengan Metode Fo...
Membaca dengan Metode Fonik - Membuat Rancangan Pembelajaran dengan Metode Fo...Membaca dengan Metode Fonik - Membuat Rancangan Pembelajaran dengan Metode Fo...
Membaca dengan Metode Fonik - Membuat Rancangan Pembelajaran dengan Metode Fo...MuhammadSyamsuryadiS
 
7.PPT TENTANG TUGAS Keseimbangan-AD-AS .pptx
7.PPT TENTANG TUGAS Keseimbangan-AD-AS .pptx7.PPT TENTANG TUGAS Keseimbangan-AD-AS .pptx
7.PPT TENTANG TUGAS Keseimbangan-AD-AS .pptxSusanSanti20
 
MATEMATIKA EKONOMI MATERI ANUITAS DAN NILAI ANUITAS
MATEMATIKA EKONOMI MATERI ANUITAS DAN NILAI ANUITASMATEMATIKA EKONOMI MATERI ANUITAS DAN NILAI ANUITAS
MATEMATIKA EKONOMI MATERI ANUITAS DAN NILAI ANUITASbilqisizzati
 
Aksi Nyata Sosialisasi Profil Pelajar Pancasila.pdf
Aksi Nyata Sosialisasi Profil Pelajar Pancasila.pdfAksi Nyata Sosialisasi Profil Pelajar Pancasila.pdf
Aksi Nyata Sosialisasi Profil Pelajar Pancasila.pdfJarzaniIsmail
 

Recently uploaded (20)

Kanvas BAGJA prakarsa perubahan Ahyar.pdf
Kanvas BAGJA prakarsa perubahan Ahyar.pdfKanvas BAGJA prakarsa perubahan Ahyar.pdf
Kanvas BAGJA prakarsa perubahan Ahyar.pdf
 
LATAR BELAKANG JURNAL DIALOGIS REFLEKTIF.ppt
LATAR BELAKANG JURNAL DIALOGIS REFLEKTIF.pptLATAR BELAKANG JURNAL DIALOGIS REFLEKTIF.ppt
LATAR BELAKANG JURNAL DIALOGIS REFLEKTIF.ppt
 
Membuat Komik Digital Berisi Kritik Sosial.docx
Membuat Komik Digital Berisi Kritik Sosial.docxMembuat Komik Digital Berisi Kritik Sosial.docx
Membuat Komik Digital Berisi Kritik Sosial.docx
 
power point bahasa indonesia "Karya Ilmiah"
power point bahasa indonesia "Karya Ilmiah"power point bahasa indonesia "Karya Ilmiah"
power point bahasa indonesia "Karya Ilmiah"
 
Pendidikan-Bahasa-Indonesia-di-SD MODUL 3 .pptx
Pendidikan-Bahasa-Indonesia-di-SD MODUL 3 .pptxPendidikan-Bahasa-Indonesia-di-SD MODUL 3 .pptx
Pendidikan-Bahasa-Indonesia-di-SD MODUL 3 .pptx
 
MAKALAH KELOMPOK 7 ADMINISTRASI LAYANAN KHUSUS.pdf
MAKALAH KELOMPOK 7 ADMINISTRASI LAYANAN KHUSUS.pdfMAKALAH KELOMPOK 7 ADMINISTRASI LAYANAN KHUSUS.pdf
MAKALAH KELOMPOK 7 ADMINISTRASI LAYANAN KHUSUS.pdf
 
DEMONSTRASI KONTEKSTUAL MODUL 1.3 CGP 10.pptx
DEMONSTRASI KONTEKSTUAL MODUL 1.3 CGP 10.pptxDEMONSTRASI KONTEKSTUAL MODUL 1.3 CGP 10.pptx
DEMONSTRASI KONTEKSTUAL MODUL 1.3 CGP 10.pptx
 
PELAKSANAAN + Link2 Materi BimTek _PTK 007 Rev-5 Thn 2023 (PENGADAAN) & Perhi...
PELAKSANAAN + Link2 Materi BimTek _PTK 007 Rev-5 Thn 2023 (PENGADAAN) & Perhi...PELAKSANAAN + Link2 Materi BimTek _PTK 007 Rev-5 Thn 2023 (PENGADAAN) & Perhi...
PELAKSANAAN + Link2 Materi BimTek _PTK 007 Rev-5 Thn 2023 (PENGADAAN) & Perhi...
 
Tim Yang Lolos Pendanaan Hibah Kepedulian pada Masyarakat UI 2024
Tim Yang Lolos Pendanaan Hibah Kepedulian pada Masyarakat  UI 2024Tim Yang Lolos Pendanaan Hibah Kepedulian pada Masyarakat  UI 2024
Tim Yang Lolos Pendanaan Hibah Kepedulian pada Masyarakat UI 2024
 
Stoikiometri kelas 10 kurikulum Merdeka.ppt
Stoikiometri kelas 10 kurikulum Merdeka.pptStoikiometri kelas 10 kurikulum Merdeka.ppt
Stoikiometri kelas 10 kurikulum Merdeka.ppt
 
Aksi Nyata PMM Topik Refleksi Diri (1).pdf
Aksi Nyata PMM Topik Refleksi Diri (1).pdfAksi Nyata PMM Topik Refleksi Diri (1).pdf
Aksi Nyata PMM Topik Refleksi Diri (1).pdf
 
HAK DAN KEWAJIBAN WARGA NEGARA ppkn i.ppt
HAK DAN KEWAJIBAN WARGA NEGARA ppkn i.pptHAK DAN KEWAJIBAN WARGA NEGARA ppkn i.ppt
HAK DAN KEWAJIBAN WARGA NEGARA ppkn i.ppt
 
Lingkungan bawah airLingkungan bawah air.ppt
Lingkungan bawah airLingkungan bawah air.pptLingkungan bawah airLingkungan bawah air.ppt
Lingkungan bawah airLingkungan bawah air.ppt
 
Prakarsa Perubahan ATAP (Awal - Tantangan - Aksi - Perubahan)
Prakarsa Perubahan ATAP (Awal - Tantangan - Aksi - Perubahan)Prakarsa Perubahan ATAP (Awal - Tantangan - Aksi - Perubahan)
Prakarsa Perubahan ATAP (Awal - Tantangan - Aksi - Perubahan)
 
CAPACITY BUILDING Materi Saat di Lokakarya 7
CAPACITY BUILDING Materi Saat di Lokakarya 7CAPACITY BUILDING Materi Saat di Lokakarya 7
CAPACITY BUILDING Materi Saat di Lokakarya 7
 
BAB 5 KERJASAMA DALAM BERBAGAI BIDANG KEHIDUPAN.pptx
BAB 5 KERJASAMA DALAM BERBAGAI BIDANG KEHIDUPAN.pptxBAB 5 KERJASAMA DALAM BERBAGAI BIDANG KEHIDUPAN.pptx
BAB 5 KERJASAMA DALAM BERBAGAI BIDANG KEHIDUPAN.pptx
 
Membaca dengan Metode Fonik - Membuat Rancangan Pembelajaran dengan Metode Fo...
Membaca dengan Metode Fonik - Membuat Rancangan Pembelajaran dengan Metode Fo...Membaca dengan Metode Fonik - Membuat Rancangan Pembelajaran dengan Metode Fo...
Membaca dengan Metode Fonik - Membuat Rancangan Pembelajaran dengan Metode Fo...
 
7.PPT TENTANG TUGAS Keseimbangan-AD-AS .pptx
7.PPT TENTANG TUGAS Keseimbangan-AD-AS .pptx7.PPT TENTANG TUGAS Keseimbangan-AD-AS .pptx
7.PPT TENTANG TUGAS Keseimbangan-AD-AS .pptx
 
MATEMATIKA EKONOMI MATERI ANUITAS DAN NILAI ANUITAS
MATEMATIKA EKONOMI MATERI ANUITAS DAN NILAI ANUITASMATEMATIKA EKONOMI MATERI ANUITAS DAN NILAI ANUITAS
MATEMATIKA EKONOMI MATERI ANUITAS DAN NILAI ANUITAS
 
Aksi Nyata Sosialisasi Profil Pelajar Pancasila.pdf
Aksi Nyata Sosialisasi Profil Pelajar Pancasila.pdfAksi Nyata Sosialisasi Profil Pelajar Pancasila.pdf
Aksi Nyata Sosialisasi Profil Pelajar Pancasila.pdf
 

Makalah Aljabar Boolean dan Rangkaian Logika

  • 1. RANGKAIAN WATER LEVEL MONITORING Untuk memenuhi tugas mata kuliah “Aljabar Boolean dan Rangkaian Logika” Disusun Oleh : Zufar Dhiyaulhaq ( NIM 1101164217 ) PROGRAM STUDI S1 TEKNIK TELEKOMUNIKASI FAKULTAS TEKNIK ELEKTRO UNIVERSITAS TELKOM BANDUNG 2017
  • 2. i KATA PENGANTAR Syukur Alhamdulillah saya ucapkan kehadirat Allah SWT. Atas limpahan rahmat dan karunia-Nya sehingga saya dapat menyelesaikan laporan ini. Adapun tujuan dari penyusunan laporan ini adalah salah satu syarat yang harus dipenuhi untuk mengikuti UAS di Universitas Telkom. Dengan terselesaikannya laporan ini, saya ingin menyampaikan terima kasih semua pihak yang telah membantu dalam penyusunannya terutama kepada : 1. Bapak Dharu Arseno, S.T., M.T. Selaku dosen. 2. Laboratorium Teknik Digital yang telah mengenalkan lebih dalam tentang VHDL. 3. Teman-teman dan semua pihak yang telah membantu di dalam proses penyusunan laporan ini. Semoga segala bantuan dan pengorbanannya mendapatkan balasan yang setimpal dari Allah SWT, Amin. Akhirnya, saya berharap semoga dapat bermanfaat bagi semua pihak. Bandung 15 November, 2017 Penyusun
  • 3. ii DAFTAR ISI KATA PENGANTAR...........................................................................................................i DAFTAR ISI........................................................................................................................ii DESKRIPSI RANGKAIAN............................................................................................... 1 PROGRAM VHDL..............................................................................................................4 GAMBAR RANGKAIAN..................................................................................................5 HASIL SIMULASI..............................................................................................................5 LAMPIRAN.........................................................................................................................8
  • 4. 1 DESKRIPSI RANGKAIAN Program Rangkaian Water Level Monitoring adalah sebuah program yang bertujuan untuk memonitor ketinggian air di sungai ataupun bendungan. Program ini memiliki tiga masukan yaitu berupa bit-bit yang berasal dari sensor yang sudah dipasang di bendungan ataupun sungai. Program ini akan memproses masukan tersebut sedemikian rupa sehingga dapat menghasilkan bit-bit keluaran yang dapat menyalakan lampu monitoring, mengirimkan pemberitahuan berupa SMS dan juga menyalakan alarm tanda bahaya. Jika sensor sudah mendeteksi bahwa air mencapai level tertentu, maka program akan menyalakan lampu sebagai pemberitahuannya. Program ini mempunyai tiga level pendeteksian, yaitu : 1. Level satu, merupakan level yang menandakan bahwa ketinggian air normal. 2. Level dua, level yang menandakan bahwa ketinggian air melebihi standar keamanan, dan dapat dilakukan pemantauan secara intensif. 3. Level tiga, level yang menandakan bahwa harus segera dilakukan penanganan dan evakuasi daerah sekitar.
  • 5. 2 Selain lampu, program akan mengirimkan SMS yang berupa SMS penanda bahaya (warning sms) dan SMS untuk melakukan evakuasi (danger sms) dan membunyikan alarm. Deskripsi Lampu : 1. Lampu merah sebagai penanda bahaya. 2. Lampu kuning sebagai peringatan. 3. Lampu hijau sebagai penanda aman. Tabel Kebenaran K-MAP Keluaran lamp_green y=A'B'+A'C' lamp_yellow y = AB' + AC' + A'BC Input Output level_3 level_2 level_1 lamp_green lamp_yellow Lamp_red Alarm sms_danger sms_warning 0 0 0 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 1 1 0 0 1 1 1 0
  • 6. 3 lamp_red alarm y= ABC y= ABC sms_danger sms_warning y= ABC y= A’BC Catatan : 1. A merupakan level 3 2. B merupakan level 2 3. C merupakan level 1
  • 7. 4 PROGRAM VHDL library ieee; use ieee.std_logic_1164.all; entity water_level is port ( level_1 : in std_logic; level_2 : in std_logic; level_3 : in std_logic; lamp_green : out std_logic; lamp_yellow : out std_logic; lamp_red : out std_logic; alarm : out std_logic; sms_danger : out std_logic; sms_warning : out std_logic ); end water_level; architecture program of water_level is begin lamp_red <= level_1 and level_2 and level_3; alarm <= level_1 and level_2 and level_3; sms_danger <= level_1 and level_2 and level_3; lamp_yellow <= (level_3 and not level_2) or (level_3 and not level_1) or (not level_3 and level_2 and level_1); lamp_green <= (not level_3 and not level_2) or (not level_3 and not level_1); sms_warning <= level_1 and level_2 and not level_3; end program;
  • 8. 5 GAMBAR RANGKAIAN Gambar rangkaian ini didapatkan dengan menggunakan perangkat lunak Quartus II. Untuk mendapatkan gambar rangkaian ini, Compile terlebih dahulu program yang sudah dibuat, lalu pergi ke menu Tools > Netlist Viewers > RTL Viewer. HASIL SIMULASI Hasil simulasi ini didapatkan dengan menggunakan perangkat lunak Quartus II. Input yang dimasukan adalah input dari sensor. Untuk menjalankan simulasi, program harus terlebih dahulu di compile. Lalu buat file baru dengan File > New > University Program VWF.
  • 9. 6 Lalu tambahkan node yang berupa input dan outputnya dengan pergi kemenu Edit > Insert > Insert node or bus. Pada Node Finder, pilih list lalu masukan semua nodenya. atur inputnya terlebih dahulu. Input akan diatur sehingga memenuhi semua tabel kebenaran yang telah dibuat.
  • 10. 7 Jika sudah, lakukan simulasi dengan Simulation > Run Fungtional Simulation. Maka hasil simulasi akan keluar untuk semua outputnya. Hasil simulasi akan sama dengan tabel kebenaran yang sudah dibuat, contohnya adalah lampu hijau (lamp_green) akan menyala jika sensor tidak mendeteksi air, ataupun sensor mendeteksi air pada sensor level 1 ataupun hanya level 2.
  • 11. 8 LAMPIRAN Jenis Tipe Data VHDL VHDL mempunyai beberapa standar tipe data. Tipe data ini terbagi menjadi tipe data predefined dan tipe data buatan standar. Tipe data predefined adalah tipe predefined adalah tipe data yang sudah ada didalam bahasa VHDL. Contoh data tipe data ini adalah BIT, BOOLEAN, dan INTEGER. BIT Tipe data bit adalah tipe data yang hanya berisi dua angka, yaitu 0 dan 1. Contoh : signal BitSig : bit; BitSig1 <= '1'; BOOLEAN Tipe data boolean adalah tipe data yang hanya berisi dua kata, yaitu false dan true. Contoh : signal CondSup : boolean; CondSup <= true; INTEGER Tipe data integer pada VHDL adalah tipe data yang berisi angka integer dengan jarak tertentu. Contoh : type Voltage_Level is range 0 to 5; Tipe data buatan standar adalah tipe data yang dibuat atau didefinisikan pada standar tertentu. Contohnya STD_LOGIC ataupun STD_LOGIC_VECTOR yang merupakan tipe data standar IEEE yang didefinisikan pada library STD_LOGIC_1164.