SlideShare a Scribd company logo
1 of 19
Download to read offline
1 | HOT CHIPS 28 | AUGUST 23, 2016
MIKE CLARK
SENIOR FELLOW
A NEW X86 CORE
ARCHITECTURE FOR THE NEXT
GENERATION OF COMPUTING
2 | HOT CHIPS 28 | AUGUST 23, 2016
AGENDA
THE ROAD TO ZEN
HIGH LEVEL ARCHITECTURE
‐ IMPROVEMENTS IN CORE ENGINE
‐ FLOATING POINT
‐ IMPROVEMENTS IN CACHE SYSTEM
‐ SMT DESIGN TO MAXIMIZE THROUGHPUT
‐ NEW ISA EXTENSIONS
SUMMARY
NEXT STEP UP
3 | HOT CHIPS 28 | AUGUST 23, 2016
AMD X86 CORES: DRIVING COMPETITIVE PERFORMANCE
*Based on internal AMD estimates for “Zen” x86 CPU core compared to “Excavator” x86 CPU core.
INSTRUCTIONSPERCLOCK
More Instructions
Per Clock*
40%“Excavator”
Core
“Bulldozer”
Core
“ZEN”
4 | HOT CHIPS 28 | AUGUST 23, 2016
AMD CPU DESIGN OPTIMIZATION POINTS
ONE CORE FROM FANLESS NOTEBOOKS
TO SUPERCOMPUTERS
“ZEN”
PERFORMANCE
LOWER POWER
SMALLER MORE AREA, POWER
MORE PERFORMANCE
Low Power
“Jaguar”
High Performance
“Excavator”
*Based on internal AMD estimates for “Zen” x86 CPU core compared to “Excavator” x86 CPU core.
5 | HOT CHIPS 28 | AUGUST 23, 2016
DEFYING CONVENTION: A WIDE, HIGH PERFORMANCE, EFFICIENT CORE
At = Energy
Per Cycle
+40%
work per
cycle*
Total
Efficiency
Gain
“ZEN”
*Based on internal AMD estimates for “Zen” x86 CPU core compared to “Excavator” x86 CPU core.
Instructions-Per-Clock
Energy Per Cycle
“Steamroller”
“Piledriver”
“Bulldozer”
“Excavator”
6 | HOT CHIPS 28 | AUGUST 23, 2016
ZEN PERFORMANCE & POWER IMPROVEMENTS
LOWER POWER
‐ Aggressive clock gating with
multi-level regions
‐ Write back L1 cache
‐ Large Op Cache
‐ Stack Engine
‐ Move elimination
‐ Power focus from project inception
‐ Low Power Design Methodologies
BETTER CACHE SYSTEM
‐ Write back L1 cache
‐ Faster L2 cache
‐ Faster L3 cache
‐ Faster Load to FPU: 7 vs. 9 cycles
‐ Better L1 and L2 data prefetcher
‐ Close to 2x the L1 and L2 bandwidth
‐ Total L3 bandwidth up 5x
BETTER CORE ENGINE
‐ Two threads per core
‐ Branch mispredict improved
‐ Better branch prediction with 2
branches per BTB entry
‐ Large Op Cache
‐ Wider micro-op dispatch 6 vs. 4
‐ Larger Instruction Schedulers
Integer: 84 vs. 48 | FP: 96 vs. 60
‐ Larger retire 8 ops vs. 4 ops
‐ Quad issue FPU
‐ Larger Retire Queue 192 vs. 128
‐ Larger Load Queue 72 vs. 44
‐ Larger Store Queue 44 vs. 32
40% IPC PERFORMANCE UPLIFT
7 | HOT CHIPS 28 | AUGUST 23, 2016
Decode
4 instructions/cycle
512K
L2 (I+D) Cache
8 Way
ADD MUL ADDMULALU
2 loads + 1 store
per cycle
6 ops dispatched
Op Cache
INTEGER FLOATING POINT
ALU ALU ALU
Micro-op Queue
64K I-Cache
4 way
Branch Prediction
AGUAGU
Load/Store
Queues
Integer Physical Register File
32K D-Cache
8 Way
FP Register File
Integer Rename Floating Point Rename
Scheduler Scheduler Scheduler Scheduler SchedulerScheduler Scheduler
 Fetch Four x86 instructions
 Op Cache instructions
 4 Integer units
‒ Large rename space – 168 Registers
‒ 192 instructions in flight/8 wide retire
 2 Load/Store units
‒ 72 Out-of-Order Loads supported
 2 Floating Point units x 128 FMACs
‒ built as 4 pipes, 2 Fadd, 2 Fmul
 I-Cache 64K, 4-way
 D-Cache 32K, 8-way
 L2 Cache 512K, 8-way
 Large shared L3 cache
 2 threads per core
ZEN MICROARCHITECTURE
Micro-ops
8 | HOT CHIPS 28 | AUGUST 23, 2016
 Decoupled Branch Prediction
 TLB in the BP pipe
‒ 8 entry L0 TLB, all page sizes
‒ 64 entry L1 TLB, all page sizes
‒ 512 entry L2 TLB, no 1G pages
 2 branches per BTB entry
 Large L1 / L2 BTB
 32 entry return stack
 Indirect Target Array (ITA)
 64K, 4-way Instruction cache
 Micro-tags for IC & Op cache
 32 byte fetch
FETCHNext PC
64K Instruction Cache
To Op
Cache
32 bytes to Decode
32 bytes/
cycle
from L2
Redirect
from DE/EX
Physical Request Queue Micro-Tags
L1/L2 BTB
Return Stack ITA
Hash Perceptron
L0/L1/L2 TLB
9 | HOT CHIPS 28 | AUGUST 23, 2016
 Inline Instruction-length Decoder
 Decode 4 x86 instructions
 Op cache
 Micro-op Queue
 Stack Engine
 Branch Fusion
 Memory File for Store to Load Forwarding
DECODE
Instruction Byte Buffer
Pick
Dispatch
From IC From Micro Tags
Instructions
To EX, 6 Micro-ops
To FP,
4 Micro-ops
Microcode Rom Stack Engine Memfile
Micro-op Queue
Decode
Op Cache
Micro-ops
10 | HOT CHIPS 28 | AUGUST 23, 2016
 6x14 entry Scheduling Queues
 168 entry Physical Register File
 6 issue per cycle
‒ 4 ALU’s, 2 AGU’s
 192 entry Retire Queue
 Differential Checkpoints
 2 Branches per cycle
 Move Elimination
 8-Wide Retire
EXECUTE
168 Entry Physical Register File
Forwarding Muxes
Map Retire Queue
6 Micro-op Dispatch To DERedirect
to Fetch
AGU1AGU0ALU1 ALU3ALU2ALU0
AGQ1AGQ0ALQ1 ALQ3ALQ2ALQ0
LS
11 | HOT CHIPS 28 | AUGUST 23, 2016
 72 Out of Order Loads
 44 entry Store Queue
 Split TLB/Data Pipe, store pipe
 64 entry L1 TLB, all page sizes
 1.5K entry L2 TLB, no 1G pages
 32K, 8 way Data Cache
‒ Supports two 128-bit accesses
 Optimized L1 and L2 Prefetchers
 512K, private (2 threads), inclusive L2
LOAD/STORE AND L2
Load Queue
TLB0 TLB1
32K Data Cache
32 bytes to/from L2
AGU0 AGU1 To Ex
To FP
To L2
MAB
Store Pipe Pick
L1 PickL0 Pick
Pre Fetch
Store Queue
STP
L1/L2 TLB +
DC tags
DAT0 DAT1 Store Commit
WCB
12 | HOT CHIPS 28 | AUGUST 23, 2016
 2 Level Scheduling Queue
 160 entry Physical Register File
 8 Wide Retire
 1 pipe for 1x128b store
 Accelerated Recovery on Flushes
 SSE, AVX1, AVX2, AES, SHA, and legacy
mmx/x87 compliant
 2 AES units
FLOATING POINT
160 Entry Physical Register File
Forwarding Muxes
NSQ
192 Entry
Retire Queue
4 Micro-op Dispatch
8 Micro-op
Retire
ADD0 ADD1MUL1MUL0
SQ LDCVT
128 bit
Loads
Int to FP
FP to Int
13 | HOT CHIPS 28 | AUGUST 23, 2016
 Fast private 512K L2 cache
 Fast shared L3 cache
 High bandwidth enables prefetch
improvements
 L3 is filled from L2 victims
 Fast cache-to-cache transfers
 Large Queues for Handling L1 and L2
misses
ZEN CACHE HIERARCHY
32B/cycle
32B fetch 32B/cycle
CORE 0
32B/cycle
32B/cycle
2*16B load
1*16B store
8M L3
I+D
Cache
16-way
512K L2
I+D
Cache
8-way
32K
D-Cache
8-way
64K
I-Cache
4-way
14 | HOT CHIPS 28 | AUGUST 23, 2016
 A CPU complex (CCX) is four
cores connected to an
L3 Cache.
 The L3 Cache is 16-way
associative, 8MB, mostly
exclusive of L2.
 The L3 Cache is made of 4
slices, by low-order address
interleave.
 Every core can access every
cache with same average
latency
CPU COMPLEX
CORE 3
CORE 1L3M
1MB
L
3
C
T
L
L
2
C
T
L
L2M
512K
L3M
1MB
CORE 3L3M
1MB
L
3
C
T
L
L
2
C
T
L
L2M
512K
L3M
1MB
CORE 0 L3M
1MB
L
3
C
T
L
L
2
C
T
L
L2M
512K
L3M
1MB
CORE 2 L3M
1MB
L
3
C
T
L
L
2
C
T
L
L2M
512K
L3M
1MB
15 | HOT CHIPS 28 | AUGUST 23, 2016
INTEGER FLOATING
POINT
Integer Physical Register File FP Register File
Decode
512K
L2 (I+D) Cache
8 Way
ADD MUL ADDMUL4x ALUs
Micro-op Cache
Micro-op Queue
64K I-Cache 4 way Branch Prediction
Integer Rename Floating Point Rename
Schedulers Scheduler
L0/L1/L2
ITLB
Retire Queue
2x AGUs
Load Queue
Store Queue 32K D-Cache
8 Way
L1/L2
DTLBLoad Queue
Store Queue 512K
L2 (I+D) Cache
8 Way
MUL ADD
32K D-Cache
8 Way
L1/L2
DTLB
Integer Physical Register File
Integer Rename
Schedulers
FP Register File
Floating Point Rename
Scheduler
64K I-Cache 4 way
Decode
instructions
ADDMUL4x ALUs
Vertically Threaded
Op-Cache
micro-ops
Micro-op Queue
Branch Prediction
 All structures fully available in 1T mode
 Front End Queues are round robin with
priority overrides
 Increased throughput from SMT
SMT OVERVIEW
L0/L1/L2
ITLB
Competitively shared structures
Statically Partitioned
Competitively shared with Algorithmic Priority
Competitively shared and SMT Tagged
Retire Queue
6 ops dispatched
2x AGUs
16 | HOT CHIPS 28 | AUGUST 23, 2016
NEW INSTRUCTIONS
Feature Notes Excavator Zen
ADX Extending multi-precision arithmetic support 
RDSEED Complement to RDRAND random number generation 
SMAP Supervisor Mode Access Prevention 
SHA1/SHA256 Secure Hash Implementation Instructions 
CLFLUSHOPT CLFLUSH ordered by SFENCE 
XSAVEC/XSAVES/XRSTORS New Compact and Supervisor Save/Restore 
CLZERO Clear Cache Line 
PTE Coalescing Combines 4K page tables into 32K page size 
We support all the standard ISA including AVX &AVX-2, BMI1 & BMI2, AES, RDRAND, SMEP
AMD Exclusive
17 | HOT CHIPS 28 | AUGUST 23, 2016
“ZEN”
Totally New
High-performance
Core Design
DESIGNED FROM THE GROUND
UP FOR OPTIMAL BALANCE OF
PERFORMANCE AND POWER
Simultaneous
Multithreading (SMT)
for High Throughput
Energy-efficient FinFET
Design Scales from
Enterprise to Client
Products
New High-Bandwidth,
Low Latency Cache
System
18 | HOT CHIPS 28 | AUGUST 23, 2016
A COMMITTED ROADMAP TO X86 PERFORMANCE
*Based on internal AMD estimates for “Zen” x86 CPU core compared to “Excavator” x86 CPU core.
INSTRUCTIONSPERCLOCK
More Instructions
Per Clock*
40%“Excavator”
Core
“Bulldozer”
Core
“ZEN”
“ZEN+”
19 | HOT CHIPS 28 | AUGUST 23, 2016
DISCLAIMER & ATTRIBUTION
The information presented in this document is for informational purposes only and may contain technical inaccuracies, omissions and typographical errors.
The information contained herein is subject to change and may be rendered inaccurate for many reasons, including but not limited to product and roadmap changes, component and
motherboard version changes, new model and/or product releases, product differences between differing manufacturers, software changes, BIOS flashes, firmware upgrades, or the like.
AMD assumes no obligation to update or otherwise correct or revise this information. However, AMD reserves the right to revise this information and to make changes from time to time
to the content hereof without obligation of AMD to notify any person of such revisions or changes.
AMD MAKES NO REPRESENTATIONS OR WARRANTIES WITH RESPECT TO THE CONTENTS HEREOF AND ASSUMES NO RESPONSIBILITY FOR ANY INACCURACIES, ERRORS OR OMISSIONS
THAT MAY APPEAR IN THIS INFORMATION.
AMD SPECIFICALLY DISCLAIMS ANY IMPLIED WARRANTIES OF MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. IN NO EVENT WILL AMD BE LIABLE TO ANY PERSON FOR
ANY DIRECT, INDIRECT, SPECIAL OR OTHER CONSEQUENTIAL DAMAGES ARISING FROM THE USE OF ANY INFORMATION CONTAINED HEREIN, EVEN IF AMD IS EXPRESSLY ADVISED OF THE
POSSIBILITY OF SUCH DAMAGES.
ATTRIBUTION
© 2016 Advanced Micro Devices, Inc. All rights reserved. AMD, Radeon, the AMD Arrow logo and combinations thereof are trademarks of Advanced Micro Devices, Inc. in the United
States and/or other jurisdictions. Other names are for informational purposes only and may be trademarks of their respective owners.

More Related Content

What's hot

Heterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D PackagingHeterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D PackagingAMD
 
AMD Ryzen CPU Zen Cores Architecture
AMD Ryzen CPU Zen Cores ArchitectureAMD Ryzen CPU Zen Cores Architecture
AMD Ryzen CPU Zen Cores ArchitectureLow Hong Chuan
 
Delivering the Future of High-Performance Computing
Delivering the Future of High-Performance ComputingDelivering the Future of High-Performance Computing
Delivering the Future of High-Performance ComputingAMD
 
3D V-Cache
3D V-Cache 3D V-Cache
3D V-Cache AMD
 
7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance 7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance AMD
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUAMD
 
AMD: Where Gaming Begins
AMD: Where Gaming BeginsAMD: Where Gaming Begins
AMD: Where Gaming BeginsAMD
 
AMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat PresentationAMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat PresentationAMD
 
Intel vs amd
Intel vs amdIntel vs amd
Intel vs amdAhmed Vic
 
Accelerating Innovation from Edge to Cloud
Accelerating Innovation from Edge to CloudAccelerating Innovation from Edge to Cloud
Accelerating Innovation from Edge to CloudRebekah Rodriguez
 
AMD Processor
AMD ProcessorAMD Processor
AMD ProcessorAli Fahad
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Deepak Shankar
 
Hardware Acceleration for Machine Learning
Hardware Acceleration for Machine LearningHardware Acceleration for Machine Learning
Hardware Acceleration for Machine LearningCastLabKAIST
 
AMD processors
AMD processorsAMD processors
AMD processorssanthu652
 
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUsAMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUsAMD
 
An AI accelerator ASIC architecture
An AI accelerator ASIC architectureAn AI accelerator ASIC architecture
An AI accelerator ASIC architectureKhanh Le
 
Evaluating GPU programming Models for the LUMI Supercomputer
Evaluating GPU programming Models for the LUMI SupercomputerEvaluating GPU programming Models for the LUMI Supercomputer
Evaluating GPU programming Models for the LUMI SupercomputerGeorge Markomanolis
 

What's hot (20)

Heterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D PackagingHeterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D Packaging
 
AMD Ryzen CPU Zen Cores Architecture
AMD Ryzen CPU Zen Cores ArchitectureAMD Ryzen CPU Zen Cores Architecture
AMD Ryzen CPU Zen Cores Architecture
 
Delivering the Future of High-Performance Computing
Delivering the Future of High-Performance ComputingDelivering the Future of High-Performance Computing
Delivering the Future of High-Performance Computing
 
3D V-Cache
3D V-Cache 3D V-Cache
3D V-Cache
 
7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance 7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
 
AMD: Where Gaming Begins
AMD: Where Gaming BeginsAMD: Where Gaming Begins
AMD: Where Gaming Begins
 
intel core i7
intel core i7 intel core i7
intel core i7
 
Amd processor
Amd processorAmd processor
Amd processor
 
AMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat PresentationAMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat Presentation
 
Intel vs amd
Intel vs amdIntel vs amd
Intel vs amd
 
Accelerating Innovation from Edge to Cloud
Accelerating Innovation from Edge to CloudAccelerating Innovation from Edge to Cloud
Accelerating Innovation from Edge to Cloud
 
AMD Processor
AMD ProcessorAMD Processor
AMD Processor
 
AMD Processor
AMD ProcessorAMD Processor
AMD Processor
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power
 
Hardware Acceleration for Machine Learning
Hardware Acceleration for Machine LearningHardware Acceleration for Machine Learning
Hardware Acceleration for Machine Learning
 
AMD processors
AMD processorsAMD processors
AMD processors
 
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUsAMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
 
An AI accelerator ASIC architecture
An AI accelerator ASIC architectureAn AI accelerator ASIC architecture
An AI accelerator ASIC architecture
 
Evaluating GPU programming Models for the LUMI Supercomputer
Evaluating GPU programming Models for the LUMI SupercomputerEvaluating GPU programming Models for the LUMI Supercomputer
Evaluating GPU programming Models for the LUMI Supercomputer
 

Similar to AMD and the new “Zen” High Performance x86 Core at Hot Chips 28

POWER9 AC922 Newell System - HPC & AI
POWER9 AC922 Newell System - HPC & AI POWER9 AC922 Newell System - HPC & AI
POWER9 AC922 Newell System - HPC & AI Anand Haridass
 
Fujitsu Presents Post-K CPU Specifications
Fujitsu Presents Post-K CPU SpecificationsFujitsu Presents Post-K CPU Specifications
Fujitsu Presents Post-K CPU Specificationsinside-BigData.com
 
Evolution of Supermicro GPU Server Solution
Evolution of Supermicro GPU Server SolutionEvolution of Supermicro GPU Server Solution
Evolution of Supermicro GPU Server SolutionNVIDIA Taiwan
 
The sunsparc architecture
The sunsparc architectureThe sunsparc architecture
The sunsparc architectureTaha Malampatti
 
IBM Power9 Features and Specifications
IBM Power9 Features and SpecificationsIBM Power9 Features and Specifications
IBM Power9 Features and Specificationsinside-BigData.com
 
April 2014 IBM announcement webcast
April 2014 IBM announcement webcastApril 2014 IBM announcement webcast
April 2014 IBM announcement webcastHELP400
 
AI Accelerators for Cloud Datacenters
AI Accelerators for Cloud DatacentersAI Accelerators for Cloud Datacenters
AI Accelerators for Cloud DatacentersCastLabKAIST
 
4 p9 architecture overview japan meetup
4 p9 architecture overview japan meetup4 p9 architecture overview japan meetup
4 p9 architecture overview japan meetupYutaka Kawai
 
Red Hat Storage Day Seattle: Supermicro Solutions for Red Hat Ceph and Red Ha...
Red Hat Storage Day Seattle: Supermicro Solutions for Red Hat Ceph and Red Ha...Red Hat Storage Day Seattle: Supermicro Solutions for Red Hat Ceph and Red Ha...
Red Hat Storage Day Seattle: Supermicro Solutions for Red Hat Ceph and Red Ha...Red_Hat_Storage
 
SUN主机产品介绍.ppt
SUN主机产品介绍.pptSUN主机产品介绍.ppt
SUN主机产品介绍.pptPencilData
 
HPC Infrastructure To Solve The CFD Grand Challenge
HPC Infrastructure To Solve The CFD Grand ChallengeHPC Infrastructure To Solve The CFD Grand Challenge
HPC Infrastructure To Solve The CFD Grand ChallengeAnand Haridass
 
Advanced High-Performance Computing Features of the OpenPOWER ISA
 Advanced High-Performance Computing Features of the OpenPOWER ISA Advanced High-Performance Computing Features of the OpenPOWER ISA
Advanced High-Performance Computing Features of the OpenPOWER ISAGanesan Narayanasamy
 
Heterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of SystemsHeterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of SystemsAnand Haridass
 
Benchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISC
Benchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISCBenchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISC
Benchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISCPriyodarshini Dhar
 
Lenovo Dense Servers for the next generation: the NeXtScale System
Lenovo Dense Servers for the next generation: the NeXtScale SystemLenovo Dense Servers for the next generation: the NeXtScale System
Lenovo Dense Servers for the next generation: the NeXtScale SystemLenovo Data Center
 

Similar to AMD and the new “Zen” High Performance x86 Core at Hot Chips 28 (20)

POWER9 for AI & HPC
POWER9 for AI & HPCPOWER9 for AI & HPC
POWER9 for AI & HPC
 
POWER9 AC922 Newell System - HPC & AI
POWER9 AC922 Newell System - HPC & AI POWER9 AC922 Newell System - HPC & AI
POWER9 AC922 Newell System - HPC & AI
 
Fujitsu Presents Post-K CPU Specifications
Fujitsu Presents Post-K CPU SpecificationsFujitsu Presents Post-K CPU Specifications
Fujitsu Presents Post-K CPU Specifications
 
Evolution of Supermicro GPU Server Solution
Evolution of Supermicro GPU Server SolutionEvolution of Supermicro GPU Server Solution
Evolution of Supermicro GPU Server Solution
 
Pentium
PentiumPentium
Pentium
 
Pentium
PentiumPentium
Pentium
 
QSpiders - Basic intel architecture
QSpiders - Basic intel architectureQSpiders - Basic intel architecture
QSpiders - Basic intel architecture
 
The sunsparc architecture
The sunsparc architectureThe sunsparc architecture
The sunsparc architecture
 
IBM Power9 Features and Specifications
IBM Power9 Features and SpecificationsIBM Power9 Features and Specifications
IBM Power9 Features and Specifications
 
April 2014 IBM announcement webcast
April 2014 IBM announcement webcastApril 2014 IBM announcement webcast
April 2014 IBM announcement webcast
 
AI Accelerators for Cloud Datacenters
AI Accelerators for Cloud DatacentersAI Accelerators for Cloud Datacenters
AI Accelerators for Cloud Datacenters
 
4 p9 architecture overview japan meetup
4 p9 architecture overview japan meetup4 p9 architecture overview japan meetup
4 p9 architecture overview japan meetup
 
Red Hat Storage Day Seattle: Supermicro Solutions for Red Hat Ceph and Red Ha...
Red Hat Storage Day Seattle: Supermicro Solutions for Red Hat Ceph and Red Ha...Red Hat Storage Day Seattle: Supermicro Solutions for Red Hat Ceph and Red Ha...
Red Hat Storage Day Seattle: Supermicro Solutions for Red Hat Ceph and Red Ha...
 
OpenIO ServerLess Storage
OpenIO ServerLess StorageOpenIO ServerLess Storage
OpenIO ServerLess Storage
 
SUN主机产品介绍.ppt
SUN主机产品介绍.pptSUN主机产品介绍.ppt
SUN主机产品介绍.ppt
 
HPC Infrastructure To Solve The CFD Grand Challenge
HPC Infrastructure To Solve The CFD Grand ChallengeHPC Infrastructure To Solve The CFD Grand Challenge
HPC Infrastructure To Solve The CFD Grand Challenge
 
Advanced High-Performance Computing Features of the OpenPOWER ISA
 Advanced High-Performance Computing Features of the OpenPOWER ISA Advanced High-Performance Computing Features of the OpenPOWER ISA
Advanced High-Performance Computing Features of the OpenPOWER ISA
 
Heterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of SystemsHeterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of Systems
 
Benchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISC
Benchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISCBenchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISC
Benchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISC
 
Lenovo Dense Servers for the next generation: the NeXtScale System
Lenovo Dense Servers for the next generation: the NeXtScale SystemLenovo Dense Servers for the next generation: the NeXtScale System
Lenovo Dense Servers for the next generation: the NeXtScale System
 

More from AMD

AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022AMD
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD
 
AMD EPYC World Records
AMD EPYC World RecordsAMD EPYC World Records
AMD EPYC World RecordsAMD
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD
 
AMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and CountingAMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and CountingAMD
 
AMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World RecordsAMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World RecordsAMD
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next HorizonAMD
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next HorizonAMD
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next HorizonAMD
 
Race to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market OpportunityRace to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market OpportunityAMD
 
GPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingGPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingAMD
 
Enabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the DatacenterEnabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the DatacenterAMD
 
Lessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB NetworkLessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB NetworkAMD
 

More from AMD (15)

AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World Record
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World Record
 
AMD EPYC World Records
AMD EPYC World RecordsAMD EPYC World Records
AMD EPYC World Records
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
 
AMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and CountingAMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and Counting
 
AMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World RecordsAMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World Records
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
Race to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market OpportunityRace to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market Opportunity
 
GPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingGPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print Imaging
 
Enabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the DatacenterEnabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the Datacenter
 
Lessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB NetworkLessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB Network
 

Recently uploaded

Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 3652toLead Limited
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024The Digital Insurer
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDGMarianaLemus7
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clashcharlottematthew16
 

Recently uploaded (20)

Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDG
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clash
 

AMD and the new “Zen” High Performance x86 Core at Hot Chips 28

  • 1. 1 | HOT CHIPS 28 | AUGUST 23, 2016 MIKE CLARK SENIOR FELLOW A NEW X86 CORE ARCHITECTURE FOR THE NEXT GENERATION OF COMPUTING
  • 2. 2 | HOT CHIPS 28 | AUGUST 23, 2016 AGENDA THE ROAD TO ZEN HIGH LEVEL ARCHITECTURE ‐ IMPROVEMENTS IN CORE ENGINE ‐ FLOATING POINT ‐ IMPROVEMENTS IN CACHE SYSTEM ‐ SMT DESIGN TO MAXIMIZE THROUGHPUT ‐ NEW ISA EXTENSIONS SUMMARY NEXT STEP UP
  • 3. 3 | HOT CHIPS 28 | AUGUST 23, 2016 AMD X86 CORES: DRIVING COMPETITIVE PERFORMANCE *Based on internal AMD estimates for “Zen” x86 CPU core compared to “Excavator” x86 CPU core. INSTRUCTIONSPERCLOCK More Instructions Per Clock* 40%“Excavator” Core “Bulldozer” Core “ZEN”
  • 4. 4 | HOT CHIPS 28 | AUGUST 23, 2016 AMD CPU DESIGN OPTIMIZATION POINTS ONE CORE FROM FANLESS NOTEBOOKS TO SUPERCOMPUTERS “ZEN” PERFORMANCE LOWER POWER SMALLER MORE AREA, POWER MORE PERFORMANCE Low Power “Jaguar” High Performance “Excavator” *Based on internal AMD estimates for “Zen” x86 CPU core compared to “Excavator” x86 CPU core.
  • 5. 5 | HOT CHIPS 28 | AUGUST 23, 2016 DEFYING CONVENTION: A WIDE, HIGH PERFORMANCE, EFFICIENT CORE At = Energy Per Cycle +40% work per cycle* Total Efficiency Gain “ZEN” *Based on internal AMD estimates for “Zen” x86 CPU core compared to “Excavator” x86 CPU core. Instructions-Per-Clock Energy Per Cycle “Steamroller” “Piledriver” “Bulldozer” “Excavator”
  • 6. 6 | HOT CHIPS 28 | AUGUST 23, 2016 ZEN PERFORMANCE & POWER IMPROVEMENTS LOWER POWER ‐ Aggressive clock gating with multi-level regions ‐ Write back L1 cache ‐ Large Op Cache ‐ Stack Engine ‐ Move elimination ‐ Power focus from project inception ‐ Low Power Design Methodologies BETTER CACHE SYSTEM ‐ Write back L1 cache ‐ Faster L2 cache ‐ Faster L3 cache ‐ Faster Load to FPU: 7 vs. 9 cycles ‐ Better L1 and L2 data prefetcher ‐ Close to 2x the L1 and L2 bandwidth ‐ Total L3 bandwidth up 5x BETTER CORE ENGINE ‐ Two threads per core ‐ Branch mispredict improved ‐ Better branch prediction with 2 branches per BTB entry ‐ Large Op Cache ‐ Wider micro-op dispatch 6 vs. 4 ‐ Larger Instruction Schedulers Integer: 84 vs. 48 | FP: 96 vs. 60 ‐ Larger retire 8 ops vs. 4 ops ‐ Quad issue FPU ‐ Larger Retire Queue 192 vs. 128 ‐ Larger Load Queue 72 vs. 44 ‐ Larger Store Queue 44 vs. 32 40% IPC PERFORMANCE UPLIFT
  • 7. 7 | HOT CHIPS 28 | AUGUST 23, 2016 Decode 4 instructions/cycle 512K L2 (I+D) Cache 8 Way ADD MUL ADDMULALU 2 loads + 1 store per cycle 6 ops dispatched Op Cache INTEGER FLOATING POINT ALU ALU ALU Micro-op Queue 64K I-Cache 4 way Branch Prediction AGUAGU Load/Store Queues Integer Physical Register File 32K D-Cache 8 Way FP Register File Integer Rename Floating Point Rename Scheduler Scheduler Scheduler Scheduler SchedulerScheduler Scheduler  Fetch Four x86 instructions  Op Cache instructions  4 Integer units ‒ Large rename space – 168 Registers ‒ 192 instructions in flight/8 wide retire  2 Load/Store units ‒ 72 Out-of-Order Loads supported  2 Floating Point units x 128 FMACs ‒ built as 4 pipes, 2 Fadd, 2 Fmul  I-Cache 64K, 4-way  D-Cache 32K, 8-way  L2 Cache 512K, 8-way  Large shared L3 cache  2 threads per core ZEN MICROARCHITECTURE Micro-ops
  • 8. 8 | HOT CHIPS 28 | AUGUST 23, 2016  Decoupled Branch Prediction  TLB in the BP pipe ‒ 8 entry L0 TLB, all page sizes ‒ 64 entry L1 TLB, all page sizes ‒ 512 entry L2 TLB, no 1G pages  2 branches per BTB entry  Large L1 / L2 BTB  32 entry return stack  Indirect Target Array (ITA)  64K, 4-way Instruction cache  Micro-tags for IC & Op cache  32 byte fetch FETCHNext PC 64K Instruction Cache To Op Cache 32 bytes to Decode 32 bytes/ cycle from L2 Redirect from DE/EX Physical Request Queue Micro-Tags L1/L2 BTB Return Stack ITA Hash Perceptron L0/L1/L2 TLB
  • 9. 9 | HOT CHIPS 28 | AUGUST 23, 2016  Inline Instruction-length Decoder  Decode 4 x86 instructions  Op cache  Micro-op Queue  Stack Engine  Branch Fusion  Memory File for Store to Load Forwarding DECODE Instruction Byte Buffer Pick Dispatch From IC From Micro Tags Instructions To EX, 6 Micro-ops To FP, 4 Micro-ops Microcode Rom Stack Engine Memfile Micro-op Queue Decode Op Cache Micro-ops
  • 10. 10 | HOT CHIPS 28 | AUGUST 23, 2016  6x14 entry Scheduling Queues  168 entry Physical Register File  6 issue per cycle ‒ 4 ALU’s, 2 AGU’s  192 entry Retire Queue  Differential Checkpoints  2 Branches per cycle  Move Elimination  8-Wide Retire EXECUTE 168 Entry Physical Register File Forwarding Muxes Map Retire Queue 6 Micro-op Dispatch To DERedirect to Fetch AGU1AGU0ALU1 ALU3ALU2ALU0 AGQ1AGQ0ALQ1 ALQ3ALQ2ALQ0 LS
  • 11. 11 | HOT CHIPS 28 | AUGUST 23, 2016  72 Out of Order Loads  44 entry Store Queue  Split TLB/Data Pipe, store pipe  64 entry L1 TLB, all page sizes  1.5K entry L2 TLB, no 1G pages  32K, 8 way Data Cache ‒ Supports two 128-bit accesses  Optimized L1 and L2 Prefetchers  512K, private (2 threads), inclusive L2 LOAD/STORE AND L2 Load Queue TLB0 TLB1 32K Data Cache 32 bytes to/from L2 AGU0 AGU1 To Ex To FP To L2 MAB Store Pipe Pick L1 PickL0 Pick Pre Fetch Store Queue STP L1/L2 TLB + DC tags DAT0 DAT1 Store Commit WCB
  • 12. 12 | HOT CHIPS 28 | AUGUST 23, 2016  2 Level Scheduling Queue  160 entry Physical Register File  8 Wide Retire  1 pipe for 1x128b store  Accelerated Recovery on Flushes  SSE, AVX1, AVX2, AES, SHA, and legacy mmx/x87 compliant  2 AES units FLOATING POINT 160 Entry Physical Register File Forwarding Muxes NSQ 192 Entry Retire Queue 4 Micro-op Dispatch 8 Micro-op Retire ADD0 ADD1MUL1MUL0 SQ LDCVT 128 bit Loads Int to FP FP to Int
  • 13. 13 | HOT CHIPS 28 | AUGUST 23, 2016  Fast private 512K L2 cache  Fast shared L3 cache  High bandwidth enables prefetch improvements  L3 is filled from L2 victims  Fast cache-to-cache transfers  Large Queues for Handling L1 and L2 misses ZEN CACHE HIERARCHY 32B/cycle 32B fetch 32B/cycle CORE 0 32B/cycle 32B/cycle 2*16B load 1*16B store 8M L3 I+D Cache 16-way 512K L2 I+D Cache 8-way 32K D-Cache 8-way 64K I-Cache 4-way
  • 14. 14 | HOT CHIPS 28 | AUGUST 23, 2016  A CPU complex (CCX) is four cores connected to an L3 Cache.  The L3 Cache is 16-way associative, 8MB, mostly exclusive of L2.  The L3 Cache is made of 4 slices, by low-order address interleave.  Every core can access every cache with same average latency CPU COMPLEX CORE 3 CORE 1L3M 1MB L 3 C T L L 2 C T L L2M 512K L3M 1MB CORE 3L3M 1MB L 3 C T L L 2 C T L L2M 512K L3M 1MB CORE 0 L3M 1MB L 3 C T L L 2 C T L L2M 512K L3M 1MB CORE 2 L3M 1MB L 3 C T L L 2 C T L L2M 512K L3M 1MB
  • 15. 15 | HOT CHIPS 28 | AUGUST 23, 2016 INTEGER FLOATING POINT Integer Physical Register File FP Register File Decode 512K L2 (I+D) Cache 8 Way ADD MUL ADDMUL4x ALUs Micro-op Cache Micro-op Queue 64K I-Cache 4 way Branch Prediction Integer Rename Floating Point Rename Schedulers Scheduler L0/L1/L2 ITLB Retire Queue 2x AGUs Load Queue Store Queue 32K D-Cache 8 Way L1/L2 DTLBLoad Queue Store Queue 512K L2 (I+D) Cache 8 Way MUL ADD 32K D-Cache 8 Way L1/L2 DTLB Integer Physical Register File Integer Rename Schedulers FP Register File Floating Point Rename Scheduler 64K I-Cache 4 way Decode instructions ADDMUL4x ALUs Vertically Threaded Op-Cache micro-ops Micro-op Queue Branch Prediction  All structures fully available in 1T mode  Front End Queues are round robin with priority overrides  Increased throughput from SMT SMT OVERVIEW L0/L1/L2 ITLB Competitively shared structures Statically Partitioned Competitively shared with Algorithmic Priority Competitively shared and SMT Tagged Retire Queue 6 ops dispatched 2x AGUs
  • 16. 16 | HOT CHIPS 28 | AUGUST 23, 2016 NEW INSTRUCTIONS Feature Notes Excavator Zen ADX Extending multi-precision arithmetic support  RDSEED Complement to RDRAND random number generation  SMAP Supervisor Mode Access Prevention  SHA1/SHA256 Secure Hash Implementation Instructions  CLFLUSHOPT CLFLUSH ordered by SFENCE  XSAVEC/XSAVES/XRSTORS New Compact and Supervisor Save/Restore  CLZERO Clear Cache Line  PTE Coalescing Combines 4K page tables into 32K page size  We support all the standard ISA including AVX &AVX-2, BMI1 & BMI2, AES, RDRAND, SMEP AMD Exclusive
  • 17. 17 | HOT CHIPS 28 | AUGUST 23, 2016 “ZEN” Totally New High-performance Core Design DESIGNED FROM THE GROUND UP FOR OPTIMAL BALANCE OF PERFORMANCE AND POWER Simultaneous Multithreading (SMT) for High Throughput Energy-efficient FinFET Design Scales from Enterprise to Client Products New High-Bandwidth, Low Latency Cache System
  • 18. 18 | HOT CHIPS 28 | AUGUST 23, 2016 A COMMITTED ROADMAP TO X86 PERFORMANCE *Based on internal AMD estimates for “Zen” x86 CPU core compared to “Excavator” x86 CPU core. INSTRUCTIONSPERCLOCK More Instructions Per Clock* 40%“Excavator” Core “Bulldozer” Core “ZEN” “ZEN+”
  • 19. 19 | HOT CHIPS 28 | AUGUST 23, 2016 DISCLAIMER & ATTRIBUTION The information presented in this document is for informational purposes only and may contain technical inaccuracies, omissions and typographical errors. The information contained herein is subject to change and may be rendered inaccurate for many reasons, including but not limited to product and roadmap changes, component and motherboard version changes, new model and/or product releases, product differences between differing manufacturers, software changes, BIOS flashes, firmware upgrades, or the like. AMD assumes no obligation to update or otherwise correct or revise this information. However, AMD reserves the right to revise this information and to make changes from time to time to the content hereof without obligation of AMD to notify any person of such revisions or changes. AMD MAKES NO REPRESENTATIONS OR WARRANTIES WITH RESPECT TO THE CONTENTS HEREOF AND ASSUMES NO RESPONSIBILITY FOR ANY INACCURACIES, ERRORS OR OMISSIONS THAT MAY APPEAR IN THIS INFORMATION. AMD SPECIFICALLY DISCLAIMS ANY IMPLIED WARRANTIES OF MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. IN NO EVENT WILL AMD BE LIABLE TO ANY PERSON FOR ANY DIRECT, INDIRECT, SPECIAL OR OTHER CONSEQUENTIAL DAMAGES ARISING FROM THE USE OF ANY INFORMATION CONTAINED HEREIN, EVEN IF AMD IS EXPRESSLY ADVISED OF THE POSSIBILITY OF SUCH DAMAGES. ATTRIBUTION © 2016 Advanced Micro Devices, Inc. All rights reserved. AMD, Radeon, the AMD Arrow logo and combinations thereof are trademarks of Advanced Micro Devices, Inc. in the United States and/or other jurisdictions. Other names are for informational purposes only and may be trademarks of their respective owners.