SlideShare a Scribd company logo
1 of 8
Download to read offline
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 502
FAULT MODEL ANALYSIS BY PARASITIC EXTRACTION METHOD
FOR EMBEDDED SRAM
M.Parvathi 1
, N. Vasantha2
, K. Satya Prasad3
1
Research Scholar, Electronics and Communication Engineering, JNTUK, AP, India, pbmuddapu@gmail.com
2
Professor & HOD, IT Dept, Vasavi College of Engineering, AP, India, vasanthavasantha@rediffmail.com
3
Professor, Electronics and Communication Engineering, JNTUK, AP, India, prasad_kodati@yahoo.co.in
Abstract
Fault analysis plays a significant role in developing detailed fault models for subsequent diagnostics and debugging of a
semiconductor memory product. Existing fault models were analyzed in terms of well known March algorithms. Such analysis is able
to give information only on either detection of fault or correction. But they are failing to give information based on various constraints
such as dynamic power analysis, propagation delay analysis, and the bit line capacitance influence while reading or writing the data.
Scale down in technology causes changes in parasitic effects, which may cause additional source of faulty behavior. Hence we suggest
an analysis which includes majority of these constraints with the help of layout based fault model. Finally different parametric values
are compared for faulty and fault free SRAM cell. We found that although some of the defects can be mapped to existing fault models,
there are many defects that result in undefined fault models.
Keywords: fault models, March algorithms, dynamic power analysis, propagation delay analysis, bit line capacitance,
layout based fault model, undefined fault models.
---------------------------------------------------------------------***-----------------------------------------------------------------------
1. INTRODUCTION
The growth in the technology depends on many facts, one fact
is human thrust towards innovation and the other fact is force
by existing technology towards upgraded technology to fulfill
the drawbacks in the existing technology. This leads to up
gradation from VLSI technology to ULSI which results in
reduction in the size and the cost but also increases the
complexity of the circuits. This has brought significant
improvements in performance. In spite of the advantages such
as better performance with low cost, significant problems
which may encounter the effective use and growth of future
VLSI technology. Also the circuit testing becomes more
complex as scale of integration increases.
Recent developments in system-on chip technology demands
large embedded memory to incorporate into a chip. Circuit
density increases with levels of integration. Hence efficient
testing schemes are needed that assure very high fault
coverage while minimizing test costs and chip area overhead.
As the complexity of circuits continues to increase, high fault
coverage of several types of fault models become more
difficult to achieve. The usage of SRAM is continuously
increasing in system-on-chip (SOC) designs. Process
technology scaling has contributed remarkably in improving
the performance of SOC in terms of area and density. As the
feature size of components shrinks the sensitivity to faults
increases while the faults become more complex. Furthermore,
test time grows at least linearly as the number of storage
elements per chip increases [1, 2, and 3].
The SRAM cell typically utilizes the minimum sized transistor
in order to realize a high density [4]. Technology scaling leads
to increased intra die variations and its impact is more
pronounced on the SRAM cells. As a result SRAM scaling has
become extremely difficult in the advanced technology nodes
(e.g., 65, 40, or 32 nm LP CMOS technology). The lowest
operational VDD (VDDmin) for embedded memories
(SRAM) is limited by either read (cell stability) or write
ability. SRAM bit cell functional parameter degradation due to
increasing variability and decreasing power supply is of
utmost concern. The random threshold variations in sub
nanometer technologies have resulted in serious yield issues
for realizing low VDD READ/WRITE operations with a 6T
SRAM cell. The area of an SRAM cell is very important
because the cell area contributes significantly to the silicon
area. For instance, SRAM L1 caches occupy a significant
portion of many designs. The minimum sized 6T cell in 65 nm
occupies 0.4 µm2 (Utsumi et al. 2005), in the 40 nm 0.33 µm2
(Yabuuchi et al. 2007), and in the 32 nm 0.124 µm2 (Chang et
al. 2005).As the SRAM cell is scaled, it is difficult to ensure
cell stability. For low VDD values, the signal level reduces
because of the impact of Vt variations. SRAM cell design can
be optimized to minimize the impact of Vt variation on signal
read. The SRAM cell beta ratio is defined as the (W/L) of
NMOS pull down transistors of inverter to the PMOS pull up
transistor.
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 503
The reference number should be shown in square bracket [1].
However the authors name can be used along with the
reference number in the running text. The order of reference in
the running text should match with the list of references at the
end of the paper.
Eg1: As per Kong, the density of X increases with Y [9].
Eg 2: It is reported that X increase with Y [45].
1.1 Power Dissipation Concepts
1) Dynamic Power Dissipation:
The main elements in the power dissipation are the switching
activity of each node, the supply voltage, the voltage swing on
each node, the capacitance at each node and the clock
frequency.
P=α.f.C.VDD.∆V
Where α is the switching activity, C is capacitance, f is clock
frequency, and ∆Vis the output voltage swing.
2) Static Power Dissipation:
The reason behind the static power dissipation to occur in the
circuit is when a node that does not achieve full voltage swing
used to drive another circuit. Another reason is leakage
currents when the gates operating in the idle mode. CMOS
gates consumes a certain amount of power when leakage
current passing through the transistors. As the level of doping
has to be increased due to transistors shrink in the size causes
leakage currents to become larger. This effect is more
pronounced when millions of transistors are involved.
3) Reasons of Power Dissipation during Testing:
The main reasons for the power dissipation during testing are
so many. Few among them are discussed here. More the
complexity of the circuit leads to more test time. Hence to
save test time, it requires to partition the circuits so that test
stimuli can be applied in parallel to all the circuits at a time.
But partition of the circuits may leads to high power
dissipation. Due to lack of at-speed equipments, delay is
introduced in the circuit during testing which also dissipate
power. In the testing mode, the correlation between the
consecutive patterns is small which causes large switching and
hence increases the dynamic power. In normal mode, only a
small part of the SOC works but in the test mode all blocks of
the SOC remain engaged by applying the large number of test
patterns one after the other. Hence, test mode requires more
power than normal mode and responsible for increasing chip
temperature. Circuit power dissipation in test mode is much
higher than the power dissipation in function mode [5]. Some
algorithms such as March LR produce a high circuit switching
activity, which is the major cause of heat dissipation. Test
efficiency correlates with toggle rate, correlation between
successive functional inputs may be significant, and however,
for test patterns it is generally kept low.
Generally faults can be divided in to time invariant
(permanent) and time varying categories. A permanent fault is
a fault which manifests a faulty behavior regardless of any
operating conditions. There are two types of non-permanent
faults; they are Transient fault and intermittent fault. Transient
fault is a fault which is time dependent, caused by
environmental conditions. Intermittent faults are caused by
non-environmental conditions such as Loose connections,
Deteriorating or aging components, Critical Timing,
Resistance and capacitance variation, Physical irregularities,
noise (noise disturbs signals in the system). Many fault models
have emerged for permanent and transient faults which uses
March algorithms. But such fault models are not suitable for
the study of intermittent faults. And also they are failing to
give information based on various constraints such as dynamic
power analysis, propagation delay analysis, and the bit line
capacitance/resistance variation while reading/writing the
data.
By taking all the above said constraints such as read /write
latency and power dissipation as measures, the analysis is
made on SRAM single cell with and without fault using their
layout simulations. In this analysis various parameters such as
critical path delay, power dissipation over a range of
capacitances, node values in terms of total capacitance,
resistance, and dynamic power dissipation, propagation delay
were observed and compared. These are all performance
measures to consider while optimizing the yield of SRAM.
Section II discuss about the impact of bit line capacitances on
read and write operations in SRAM cell, Section III discuss
about parametric analysis which deals with propagation delay,
dynamic power dissipation, and different node values. Section
IV discusses results and comparisons and Section V gives
conclusions
2. BIT LINE CAPACITANCE AND THE IMPACT
ON READ/WRITE OPERATIONS
The generic SRAM cell as shown below turns out to be quite
similar to the static SR latch. It requires 6 transistors per bit.
At first, it seems like the margins in such a memory cell
should be good, since it contains two CMOS inverters, which
we know have large margins. However, the problems are
associated with those access devices connected to the cell.
When cell is not accessed, it has great margins; when access
devices are on, they act like load devices. Capacitance on bit
lines is large enough to act like a voltage source. Read
operation is as shown below in fig.1.
In this Read Operation assume first that node Q is in the “1”
state, we further assume that both bit line are pre charged to
VDD, 2.5V, before the read operation is initiated. The read
cycle is started by asserting the word line, enabling both
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 504
transistors M5 and M 6. During a correct read operation, the
values stored in Q and !Q are transferred to the bit lines by
leaving BL at its pre charge value and by discharge !BL
through M1 – M5 . A careful sizing of the transistors is
necessary to avoid accidentally writing a “1” into the cell. This
type of malfunction is called “read upset” [6].
Initially, upon the rise of the WL, the intermediate node
between these two NMOS transistors M1 & M5, Q, is pulled
up toward the pre charge value BL. This voltage rise of Q
must stay low enough not to switch inverter of M3 and M4,
which will flip the cell. It is necessary to keep the resistance of
transistor M5 large enough to prevent this from happening.
The boundary constraints on the device sizes can be derived
by solving the current equation at the maximum allowed value
of the voltage ripple ΔV where ΔV is noise margin of the
inverter. Since both M5 and M1 are in velocity saturation
region, by equating their current equations the cell ratios can
be obtained and is as follows:
The cell ratio is (w/l) m1 to (w/l) m5. For large memory
arrays, it is desirable to keep the cell size minimal while
maintaining read stability. If the transistor m1 is minimum
sized, the access pass transistor m5 has to be made weaker by
increasing its length. This is undesirable, because it adds to the
load of the bit line. The total BL capacitance (Ct ) is divided
into three components: internal coupling capacitance due to
complementary bit line !BL ( Cbi), external coupling
capacitance due to a neighboring bit line BL (Cbx) and an
inherent BL capacitance to ground (Cg) composed of coupling
to all other parts of the memory (cells, WLs, substrate, etc)[7].
This is expressed as:
Ct=Cbi+Cbx+Cg
The exact values of these capacitances depend on the layout of
the memory and its manufacturing technology. In general, the
value of Cg accounts for a large portion of Ct. In literature,
reported Cg/Ct ratios range from 40% to over 90% [8]. On the
other hand, due to the symmetry of the layout implementation
of the bit lines BL and !BLs, the values of Cbi and Cbx are
rather close to each other, and therefore we consider them to
be equal (Cbi=Cbx=Cb) such that: Ct≈2Cb+Cg.
In general SRAM deals with read and write operation. But
read operations are more sensitive to the impact of coupling
than write operations. During a read operation, the WL
accesses the cell and connects it to the pre-charged BLs. Based
on the value stored in the cell, a voltage difference develops
on the BLs that the sense amplifier subsequently attempts to
detect. The presence of Cb causes neighboring BLs to
influence the voltage development during a read. If we assume
that a defective BL is totally floating, while the neighboring
BL develops a voltage V, then the amount of coupling voltage
(∆V) induced on the floating BL can be expressed as:
Because of excessive bit line BL the common faults may
occur in the memory are coupling fault (CFs), Bit line voltage
imbalance fault (PSF), Single ended bit-line voltage shift
(PSF). The excessiveness in BL occurs when there is coupling
between bit line BL and word line WL. Hence one can
identify the type of fault by careful study of bit-line
capacitance analysis.
Fig -1: SRAM cell with Read operation
3. PARAMETRIC ANALYSIS
3.1 Propagation Delay:
There are several causes for delay in the 6T SRAM. The first
is due to the time it takes the input data to reach bit-lines,
which depends on the switching time for both the external
writing circuitry and the bit-lines. The others arise from the
W/R word-line switching and N1 and N2 turning on, followed
by the stored voltages in the memory latch being overwritten.
All of these are directly related to the amount of capacitance
on the lines or nodes being charged or discharged. When there
are process variations within a single memory cell – scenarios
more likely to occur at 20nm – read, write, access, or hold
failures can occur [9].
Fig -2: Layout for SRAM cell with read operation
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 505
The propagation delay is one of the key parameter which
affects the performance of SRAM. Increase in rise time will
show impact on performance, whereas increase in fall time
show direct impact on memory functionality. If fall time
increases, pass transistor active period increases (read
operation). If the bit line over-discharge, the memory content
over-charge during the read operation. If the over-discharge is
present this increases the dynamic power dissipation of bit
lines, which in turn causes the cell content to flip if the over-
discharge period is large.
3.2 Power Dissipation:
Technology variation causes process parameters variations
such as channel length, width, oxide thickness results in
variation in threshold voltage of a device. The threshold
voltage mismatch between the transistors on the SRAM array
results in various failures such as read/write access and hold
failures as well as have a strong impact on leakage of the
SRAM array (Roy et al., 2003)[10]. The increase in leakage
current through the transistor results in failure of the cell to
retain the stored data causing the occurrence of hold failures.
The reverse is true that is due to the presence of fault, leakage
current increases which in turn increases power dissipation in
the chip.
3.3 RC values at Nodes:
Each node say bit line or write line on applying signal have
greater impact on the performance of the SRAM and have
strong dependency on process parameters as well as operating
conditions. For example by taking bit line capacitance into
consideration several simulations are performed with
capacitance varying from 0fF to 100fF with 10fF in steps and
observed the power dissipation variation. Similarly overall
node capacitance, resistance and delay values also observed in
the parametric analysis for both faulty and fault free SRAM
cell.
4. RESULTS AND COMPARISONS
The circuits for SRAM with read and write operations are
developed using Micro wind Dsh2 simulation tool. The logic
simulation options are selected such as Time unit in ns, the
supply voltage 1.2v, with default gate delay 0.03ns, default
wire delay 0.07ns. For the design of transistors default
technology i.e. Current CMOS technology 0.12um is selected.
In which length of transistor selected as 0.12um, and width is
selected as 1.0um for NMOS and 2.0um for PMOS transistor.
Similarly for the layout diagrams, simulation was carried
using Micro wind tool using Empirical Level 3 model at
default room temperature 27 degrees centigrade with 6metal
design rule. The results such as propagation delay, power
dissipation and node values are obtained individually for read
operation, write operation of SRAM and are tabulated in Table
1 and 2. The node values are compared individually between
read and write values. Read and Write capacitance comparison
is shown in fig.3. It is observed that read operation
capacitance is less compare to write operation capacitance.
Similarly resistance for read and operation also compared and
is shown in fig.4.
Table 1 R, C values at various nodes in read circuit
4.1 Simulation Results for SA0:
Case I: If gate and source of inverter NMOS transistor M3 are
shorted leads to SA0, the corresponding layout is shown in fig
5, Node R,C values are shown in Table 3.
Case II: If drain and gate of access transistor M6 are shorted
leads to SA0, the corresponding layout is shown in fig.6, Node
R,C values are shown in Table 4.
Case III: If source and drain of access transistor M6 are
shorted leads to SA0, the corresponding layout is shown in fig
7, Node R,C values are shown in Table 5.
Case IV: If drain and source of NMOS transistor M1 are
shorted leads to SA0, the corresponding layout is shown in fig
8, Node R,C values are shown in Table 6.
Capacitance and Resistance variation with SA0 fault in
different cases and their comparisons are shown in fig.9&10
respectively.
Table 2 R,C values at various nodes in write circuit
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 506
Fig -3 Comparison of read and writes capacitances at various
nodes
Fig -4 Comparison of read and writes resistances at various
nodes
Fig -5 Layout for faulty cell with SA0 case I
Table 3 R,C values at various nodes with sa0 case I
Fig -6 Layout for faulty cell with SA0 case II
Table 4 R, C values at various nodes with sa0 case ii
Fig -7 Layout for faulty cell with SA0 case III
Table 5 R, C values at various nodes with sa0 case iii
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 507
Fig -8 Layout for faulty cell with SA0 case IV
Table 6 R, C values at various nodes with sa0 case iv
Fig -9 Comparison of Node capacitances between faulty
(SA0) and fault free cell
Fig -10 Comparison of Node Resistances between faulty
(SA0) and fault free cell
4.2 Simulation Results for SA1:
Case I: If gate and source of inverter transistor M4 and gate
and source of right access transistor M6 are together shorted
leads to sa1 fault, the corresponding layout is shown in fig 11,
Node R,C values are shown in Table 7.
Case II: If left Access transistor M5 gate and source are
shorted leads to SA1 and the corresponding layout is shown in
fig.12, Node R,C values are shown in Table 8.
Case III: If gate and drain of inverter transistor M2 and gate
and source of access transistor M5 are shorted leads to SA1,
the corresponding layout is shown in fig. 13, Node R,C values
are shown in Table 9.
Fig -11 Layout for faulty cell with SA1 case I
Table 7 . R, C values at various nodes with sa1 case i
Fig -12 Layout for faulty cell with SA1 case II
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 508
Table 8 R, C values at various nodes with sa1 case ii
Fig -13 Layout for faulty cell with SA1 case III
Table 9 R, C VALUES AT VARIOUS NODES WITH SA1
CASE III
Capacitance and resistance variation with SA1 fault in
different cases and their comparisons are shown in fig 14 & 15
respectively.
Fig -14 Comparison of Node capacitances between faulty
(SA1) and fault free cell
Fig -15 Comparison of Node Resistance between faulty (SA1)
and fault free cell
5. CONCLUSION
Initially fault free SRAM cell was considered and found
capacitance and resistance at each node. From the results it
was observed that the capacitances for output of latch Q gives
more capacitance i.e. 4.69fF when write operation was carried.
Then !Q followed with next highest value i.e. 4.47fF.
Whereas when read operation was performed, it was observed
that capacitance at !Q is more i.e.4.46fF than Q and is 1.43fF.
In both cases writing a „1‟ and reading a „1‟ is chosen.
We have proposed four cases for SA0 fault were considered
and observed the corresponding R,C values at different nodes.
The obtained node values for faulty cell were compared with
fault free cell node R,C values. Similarly we proposed three
cases for SA1 fault also. A defect-based fault analysis and
defect simulation methodology has been presented. The
simulation results show the existence of un-testable defects
and un-modeled faults presented. The overall analysis with
random R,C variations is much suitable for obtaining the
better solutions towards improvement of the yield. The
analysis is further can be extended by considering more
complex faults such as transition and coupling faults.
REFERENCES
[1] Manoj Vishnoi, Arun Kumar, Minakshi Sanadhya,
“Design of Improved Built - In - Self - Test Algorithm
(8n) for Single Port Memory” International Journal of
Soft Computing and Engineering (IJSCE) ISSN: 2231 -
2307, Volume - 2 , Issue - 5 , November 2012
[2] Macro Command ”Proceedings of the 2005 IEEE
International Workshop on Memory Technology,
Design, and Testing (MTDT‟05) 1087-4852/05 $20.00
© 2005 IEEE
[3] Sachin D.Kohale, Ratnaprabha W.Jasutkar,
“Comparative Analysis of Stein‟s and Euclid‟s
Algorithm with BIST for GCD Computations ”, IJCSN
International Journal of Computer Science and
Network,Vol2, Issue 1, 2013 97 ISSN (Online) : 2277-
5420
[4] V. Sharma et al, ”SRAM Design for Wireless Sensor
Networks, Analog Circuits and Signal Processing,
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 509
DOI: 10.1007/978-1-4614-4039-0_2, Springer
Science Business Media New York 2013
[5] Balwinder singh , Sukhleen Bindra Narang , and Arun
Khosla “Address Counter / Generators for Low Power
Memory BIST”,IJCSI International Journal of
Computer Science Issues, Vol. 8, Issue 4, No 1, July
2011 ISSN (Online): 1694-0814 www.IJCSI.org 562
[6] “Digital Integrated Circuits” by Jan M.Rabaey,
Anantha Chandrakasan and Borivoje Nikolic, Second
Edition, Pearson Prentice Hall.
[7] Irobi, S. Hamdioui, S.”Bit line coupling memory tests
for single-cell fails in SRAMs”, VLSI Test Symposium
(VTS), 2010 ,27 - 32 ,978-1-4244-6649-8 ,Santa Cruz,
CA
[8] K. Takeda et al. “ A 16-mb 400-mhz load less cmos
four transistor sram macro”, In IEEE J. Solid-State
Circuits, 35(11):1631–1640, 2000.
[9] http://www.techdesignforums.com
[10] Roy, K., S. Mukopadhyay and H.Mahamoodi, 2003,
“Leakage current mechanisms and leakage Reduction
techniques in deep-sub micrometer CMOS circuits.”,
Proc. IEEE., 91: 305-327. DOI: DOI:
10.1109/JPROC.2002.808156.
[11] N.M. Sivamangai and Gunavathi,”Design For Test
Technique for Leakage Power Reduction in Nano scale
Static Random Access Memory”, Journal of Computer
Science 7 (8): 1252-1260, 2011 ISSN 1549-3636 ©
2011 Science Publications
BIOGRAPHIES
Mrs. M.Parvathi has done her B.Tech in
Electronics and Communication Engineering from
N.I.T. Warangl, A.P, India. She has done her
M.Tech (dsce) from JNTUH, A.P, India. She is
pursuing her Ph.D in the field of VLSI Design
from JNTUK, Kakinada, A.P, India. She has 12 years of
experience in teaching. She has published few Science and
Technical papers in various International and National reputed
Journals and Conferences. She is member of IEEE and life
member of IETE and ISTE Technical Societies.
Dr..N.Vasantha has done her B.E from College of
Engineering, Guindy, Madras University and
M.Tech in Advanced Electronics from JNTUH,
Hyderabad, AP, India. She received her Doctorate
in ECE in the field of VLSI Design from Osmania University.
She is serving as Head of the Department of I.T and has more
than twenty years of experience in teaching. She is senior
member of IEEE and life member of IETE and ISTE
Technical Societies. She has published more than twenty
Science and Technical papers in various International and
National reputed journals and conferences.
Dr. K.Satya Prasad was born in 1955 in
Kakinada, Andhra Pradesh, India. Since 1980 he
is in service of Teaching Profession. He served as
Rector in J.N.T.U.K, Kakinada, Andhra Pradesh,
India. He guided 13 PhD Scholars. He was author
of text book Electronics Devices and Circuits. He is member
of Technical Societies such as IEEE, and life member of IETE
and ISTE and Fellow member of IE. He organized as convener
over 8 conferences. He has 17 publications in various national
and international journals. His areas of interest are Signals
Systems, Communications, and DSP.

More Related Content

What's hot

Optimization of edm process parameters using taguchi method a review
Optimization of edm process parameters using taguchi method  a reviewOptimization of edm process parameters using taguchi method  a review
Optimization of edm process parameters using taguchi method a revieweSAT Journals
 
A Literature Review on Effect of Machining Parameters in Wire - EDM
A Literature Review on Effect of Machining Parameters in Wire - EDMA Literature Review on Effect of Machining Parameters in Wire - EDM
A Literature Review on Effect of Machining Parameters in Wire - EDMijsrd.com
 
Wire EDM Parameters for Surface Roughness in Straight Gear Manufacturing: An ...
Wire EDM Parameters for Surface Roughness in Straight Gear Manufacturing: An ...Wire EDM Parameters for Surface Roughness in Straight Gear Manufacturing: An ...
Wire EDM Parameters for Surface Roughness in Straight Gear Manufacturing: An ...Dr. Amarjeet Singh
 
A Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge MachiningA Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge MachiningIJSRD
 
IRJET-Experimental Analysis Optimization of Process Parameters of Wire EDM on...
IRJET-Experimental Analysis Optimization of Process Parameters of Wire EDM on...IRJET-Experimental Analysis Optimization of Process Parameters of Wire EDM on...
IRJET-Experimental Analysis Optimization of Process Parameters of Wire EDM on...IRJET Journal
 
Experimental Investigation to Determine Influence of Process Parameters on Su...
Experimental Investigation to Determine Influence of Process Parameters on Su...Experimental Investigation to Determine Influence of Process Parameters on Su...
Experimental Investigation to Determine Influence of Process Parameters on Su...IRJET Journal
 
IRJET- Parametric Optimization of Powder Mixed Electronic Discharge Machine.
IRJET-	 Parametric Optimization of Powder Mixed Electronic Discharge Machine.IRJET-	 Parametric Optimization of Powder Mixed Electronic Discharge Machine.
IRJET- Parametric Optimization of Powder Mixed Electronic Discharge Machine.IRJET Journal
 
full report final project btech
full report final project btechfull report final project btech
full report final project btechanish malan
 
Coordination of SPS and CES to Mitigate Oscillatory Condition on Power Systems
Coordination of SPS and CES to Mitigate Oscillatory Condition on Power SystemsCoordination of SPS and CES to Mitigate Oscillatory Condition on Power Systems
Coordination of SPS and CES to Mitigate Oscillatory Condition on Power SystemsTELKOMNIKA JOURNAL
 
Threshold voltage model for hetero-gate-dielectric tunneling field effect tra...
Threshold voltage model for hetero-gate-dielectric tunneling field effect tra...Threshold voltage model for hetero-gate-dielectric tunneling field effect tra...
Threshold voltage model for hetero-gate-dielectric tunneling field effect tra...IJECEIAES
 

What's hot (16)

Optimization of edm process parameters using taguchi method a review
Optimization of edm process parameters using taguchi method  a reviewOptimization of edm process parameters using taguchi method  a review
Optimization of edm process parameters using taguchi method a review
 
A Literature Review on Effect of Machining Parameters in Wire - EDM
A Literature Review on Effect of Machining Parameters in Wire - EDMA Literature Review on Effect of Machining Parameters in Wire - EDM
A Literature Review on Effect of Machining Parameters in Wire - EDM
 
3612
36123612
3612
 
Wire EDM Parameters for Surface Roughness in Straight Gear Manufacturing: An ...
Wire EDM Parameters for Surface Roughness in Straight Gear Manufacturing: An ...Wire EDM Parameters for Surface Roughness in Straight Gear Manufacturing: An ...
Wire EDM Parameters for Surface Roughness in Straight Gear Manufacturing: An ...
 
A Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge MachiningA Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge Machining
 
337905173 maras-hi-2016
337905173 maras-hi-2016337905173 maras-hi-2016
337905173 maras-hi-2016
 
Cn32556558
Cn32556558Cn32556558
Cn32556558
 
IRJET-Experimental Analysis Optimization of Process Parameters of Wire EDM on...
IRJET-Experimental Analysis Optimization of Process Parameters of Wire EDM on...IRJET-Experimental Analysis Optimization of Process Parameters of Wire EDM on...
IRJET-Experimental Analysis Optimization of Process Parameters of Wire EDM on...
 
Experimental Investigation to Determine Influence of Process Parameters on Su...
Experimental Investigation to Determine Influence of Process Parameters on Su...Experimental Investigation to Determine Influence of Process Parameters on Su...
Experimental Investigation to Determine Influence of Process Parameters on Su...
 
Effect of Process Parameters on MRR for HCHCr in WEDM using RSM methodology
Effect of Process Parameters on MRR for HCHCr in WEDM using RSM methodologyEffect of Process Parameters on MRR for HCHCr in WEDM using RSM methodology
Effect of Process Parameters on MRR for HCHCr in WEDM using RSM methodology
 
Av4201331336
Av4201331336Av4201331336
Av4201331336
 
Ei33805816
Ei33805816Ei33805816
Ei33805816
 
IRJET- Parametric Optimization of Powder Mixed Electronic Discharge Machine.
IRJET-	 Parametric Optimization of Powder Mixed Electronic Discharge Machine.IRJET-	 Parametric Optimization of Powder Mixed Electronic Discharge Machine.
IRJET- Parametric Optimization of Powder Mixed Electronic Discharge Machine.
 
full report final project btech
full report final project btechfull report final project btech
full report final project btech
 
Coordination of SPS and CES to Mitigate Oscillatory Condition on Power Systems
Coordination of SPS and CES to Mitigate Oscillatory Condition on Power SystemsCoordination of SPS and CES to Mitigate Oscillatory Condition on Power Systems
Coordination of SPS and CES to Mitigate Oscillatory Condition on Power Systems
 
Threshold voltage model for hetero-gate-dielectric tunneling field effect tra...
Threshold voltage model for hetero-gate-dielectric tunneling field effect tra...Threshold voltage model for hetero-gate-dielectric tunneling field effect tra...
Threshold voltage model for hetero-gate-dielectric tunneling field effect tra...
 

Viewers also liked

Secret keys and the packets transportation for privacy data forwarding method...
Secret keys and the packets transportation for privacy data forwarding method...Secret keys and the packets transportation for privacy data forwarding method...
Secret keys and the packets transportation for privacy data forwarding method...eSAT Publishing House
 
Treatment of solid waste leachate by
Treatment of solid waste leachate byTreatment of solid waste leachate by
Treatment of solid waste leachate byeSAT Publishing House
 
An analysis of raw materials for concretes as metal sheets for solar radiatio...
An analysis of raw materials for concretes as metal sheets for solar radiatio...An analysis of raw materials for concretes as metal sheets for solar radiatio...
An analysis of raw materials for concretes as metal sheets for solar radiatio...eSAT Publishing House
 
Design & development of embedded application
Design & development of embedded applicationDesign & development of embedded application
Design & development of embedded applicationeSAT Publishing House
 
Monitoring wind turbine using wi fi network for reliable communication
Monitoring wind turbine using wi fi network for reliable communicationMonitoring wind turbine using wi fi network for reliable communication
Monitoring wind turbine using wi fi network for reliable communicationeSAT Publishing House
 
Mathematical model study on solvent extraction of
Mathematical model study on solvent extraction ofMathematical model study on solvent extraction of
Mathematical model study on solvent extraction ofeSAT Publishing House
 
A survey on ranking sql queries using skyline and user
A survey on ranking sql queries using skyline and userA survey on ranking sql queries using skyline and user
A survey on ranking sql queries using skyline and usereSAT Publishing House
 
Transfer of ut information from fpga through ethernet interface
Transfer of ut information from fpga through ethernet interfaceTransfer of ut information from fpga through ethernet interface
Transfer of ut information from fpga through ethernet interfaceeSAT Publishing House
 
Video copy detection using segmentation method and
Video copy detection using segmentation method andVideo copy detection using segmentation method and
Video copy detection using segmentation method andeSAT Publishing House
 
Channel feedback scheduling for wireless communications
Channel feedback scheduling for wireless communicationsChannel feedback scheduling for wireless communications
Channel feedback scheduling for wireless communicationseSAT Publishing House
 
Analysis of dual bell rocket nozzle using
Analysis of dual bell rocket nozzle usingAnalysis of dual bell rocket nozzle using
Analysis of dual bell rocket nozzle usingeSAT Publishing House
 
Hierarchal clustering and similarity measures along
Hierarchal clustering and similarity measures alongHierarchal clustering and similarity measures along
Hierarchal clustering and similarity measures alongeSAT Publishing House
 
Partial encryption of compresed video
Partial encryption of compresed videoPartial encryption of compresed video
Partial encryption of compresed videoeSAT Publishing House
 
Microwave dehydrator an environmental friendly step toward improving microwav...
Microwave dehydrator an environmental friendly step toward improving microwav...Microwave dehydrator an environmental friendly step toward improving microwav...
Microwave dehydrator an environmental friendly step toward improving microwav...eSAT Publishing House
 
Uncertainty modelling and limit state reliability of
Uncertainty modelling and limit state reliability ofUncertainty modelling and limit state reliability of
Uncertainty modelling and limit state reliability ofeSAT Publishing House
 
Experimental study on bending behaviour of triangular
Experimental study on bending behaviour of triangularExperimental study on bending behaviour of triangular
Experimental study on bending behaviour of triangulareSAT Publishing House
 
A review on managed aquifer recharge by check dams a case study near chennai,...
A review on managed aquifer recharge by check dams a case study near chennai,...A review on managed aquifer recharge by check dams a case study near chennai,...
A review on managed aquifer recharge by check dams a case study near chennai,...eSAT Publishing House
 
Biosorption of hg (ii) from aqueous solutions
Biosorption of hg (ii) from aqueous solutionsBiosorption of hg (ii) from aqueous solutions
Biosorption of hg (ii) from aqueous solutionseSAT Publishing House
 
Geospatial information system for tourism management in aurangabad city a re...
Geospatial information system for tourism management in aurangabad city  a re...Geospatial information system for tourism management in aurangabad city  a re...
Geospatial information system for tourism management in aurangabad city a re...eSAT Publishing House
 

Viewers also liked (20)

Secret keys and the packets transportation for privacy data forwarding method...
Secret keys and the packets transportation for privacy data forwarding method...Secret keys and the packets transportation for privacy data forwarding method...
Secret keys and the packets transportation for privacy data forwarding method...
 
Treatment of solid waste leachate by
Treatment of solid waste leachate byTreatment of solid waste leachate by
Treatment of solid waste leachate by
 
An analysis of raw materials for concretes as metal sheets for solar radiatio...
An analysis of raw materials for concretes as metal sheets for solar radiatio...An analysis of raw materials for concretes as metal sheets for solar radiatio...
An analysis of raw materials for concretes as metal sheets for solar radiatio...
 
Design & development of embedded application
Design & development of embedded applicationDesign & development of embedded application
Design & development of embedded application
 
Green vehicle
Green vehicleGreen vehicle
Green vehicle
 
Monitoring wind turbine using wi fi network for reliable communication
Monitoring wind turbine using wi fi network for reliable communicationMonitoring wind turbine using wi fi network for reliable communication
Monitoring wind turbine using wi fi network for reliable communication
 
Mathematical model study on solvent extraction of
Mathematical model study on solvent extraction ofMathematical model study on solvent extraction of
Mathematical model study on solvent extraction of
 
A survey on ranking sql queries using skyline and user
A survey on ranking sql queries using skyline and userA survey on ranking sql queries using skyline and user
A survey on ranking sql queries using skyline and user
 
Transfer of ut information from fpga through ethernet interface
Transfer of ut information from fpga through ethernet interfaceTransfer of ut information from fpga through ethernet interface
Transfer of ut information from fpga through ethernet interface
 
Video copy detection using segmentation method and
Video copy detection using segmentation method andVideo copy detection using segmentation method and
Video copy detection using segmentation method and
 
Channel feedback scheduling for wireless communications
Channel feedback scheduling for wireless communicationsChannel feedback scheduling for wireless communications
Channel feedback scheduling for wireless communications
 
Analysis of dual bell rocket nozzle using
Analysis of dual bell rocket nozzle usingAnalysis of dual bell rocket nozzle using
Analysis of dual bell rocket nozzle using
 
Hierarchal clustering and similarity measures along
Hierarchal clustering and similarity measures alongHierarchal clustering and similarity measures along
Hierarchal clustering and similarity measures along
 
Partial encryption of compresed video
Partial encryption of compresed videoPartial encryption of compresed video
Partial encryption of compresed video
 
Microwave dehydrator an environmental friendly step toward improving microwav...
Microwave dehydrator an environmental friendly step toward improving microwav...Microwave dehydrator an environmental friendly step toward improving microwav...
Microwave dehydrator an environmental friendly step toward improving microwav...
 
Uncertainty modelling and limit state reliability of
Uncertainty modelling and limit state reliability ofUncertainty modelling and limit state reliability of
Uncertainty modelling and limit state reliability of
 
Experimental study on bending behaviour of triangular
Experimental study on bending behaviour of triangularExperimental study on bending behaviour of triangular
Experimental study on bending behaviour of triangular
 
A review on managed aquifer recharge by check dams a case study near chennai,...
A review on managed aquifer recharge by check dams a case study near chennai,...A review on managed aquifer recharge by check dams a case study near chennai,...
A review on managed aquifer recharge by check dams a case study near chennai,...
 
Biosorption of hg (ii) from aqueous solutions
Biosorption of hg (ii) from aqueous solutionsBiosorption of hg (ii) from aqueous solutions
Biosorption of hg (ii) from aqueous solutions
 
Geospatial information system for tourism management in aurangabad city a re...
Geospatial information system for tourism management in aurangabad city  a re...Geospatial information system for tourism management in aurangabad city  a re...
Geospatial information system for tourism management in aurangabad city a re...
 

Similar to Fault model analysis by parasitic extraction method for embedded sram

IRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram CellIRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram CellIRJET Journal
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...VLSICS Design
 
Distance based cluster head section in sensor networks for efficient energy u...
Distance based cluster head section in sensor networks for efficient energy u...Distance based cluster head section in sensor networks for efficient energy u...
Distance based cluster head section in sensor networks for efficient energy u...IAEME Publication
 
Distance based cluster head section in sensor networks for efficient energy u...
Distance based cluster head section in sensor networks for efficient energy u...Distance based cluster head section in sensor networks for efficient energy u...
Distance based cluster head section in sensor networks for efficient energy u...IAEME Publication
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueIJERA Editor
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved responseeSAT Publishing House
 
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITSNEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITSVLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...IJMER
 
Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...eSAT Journals
 
High performance novel dual stack gating technique for reduction of ground bo...
High performance novel dual stack gating technique for reduction of ground bo...High performance novel dual stack gating technique for reduction of ground bo...
High performance novel dual stack gating technique for reduction of ground bo...eSAT Journals
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleCSCJournals
 
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...IRJET Journal
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitAssociate Professor in VSB Coimbatore
 

Similar to Fault model analysis by parasitic extraction method for embedded sram (20)

IRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram CellIRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
 
Ig3415411546
Ig3415411546Ig3415411546
Ig3415411546
 
Distance based cluster head section in sensor networks for efficient energy u...
Distance based cluster head section in sensor networks for efficient energy u...Distance based cluster head section in sensor networks for efficient energy u...
Distance based cluster head section in sensor networks for efficient energy u...
 
Distance based cluster head section in sensor networks for efficient energy u...
Distance based cluster head section in sensor networks for efficient energy u...Distance based cluster head section in sensor networks for efficient energy u...
Distance based cluster head section in sensor networks for efficient energy u...
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved response
 
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITSNEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
 
P358387
P358387P358387
P358387
 
Hx3313651367
Hx3313651367Hx3313651367
Hx3313651367
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
 
Design of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS TechnologyDesign of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS Technology
 
Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...
 
High performance novel dual stack gating technique for reduction of ground bo...
High performance novel dual stack gating technique for reduction of ground bo...High performance novel dual stack gating technique for reduction of ground bo...
High performance novel dual stack gating technique for reduction of ground bo...
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
 
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
 

More from eSAT Publishing House

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnameSAT Publishing House
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...eSAT Publishing House
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnameSAT Publishing House
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...eSAT Publishing House
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaeSAT Publishing House
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingeSAT Publishing House
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...eSAT Publishing House
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...eSAT Publishing House
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...eSAT Publishing House
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a revieweSAT Publishing House
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...eSAT Publishing House
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard managementeSAT Publishing House
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallseSAT Publishing House
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...eSAT Publishing House
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...eSAT Publishing House
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaeSAT Publishing House
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structureseSAT Publishing House
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingseSAT Publishing House
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...eSAT Publishing House
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...eSAT Publishing House
 

More from eSAT Publishing House (20)

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnam
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnam
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, india
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity building
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a review
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard management
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear walls
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of india
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structures
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildings
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
 

Recently uploaded

Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)simmis5
 
UNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular ConduitsUNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular Conduitsrknatarajan
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordAsst.prof M.Gokilavani
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Christo Ananth
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...ranjana rawat
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college projectTonystark477637
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 

Recently uploaded (20)

Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)
 
UNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular ConduitsUNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular Conduits
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college project
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 

Fault model analysis by parasitic extraction method for embedded sram

  • 1. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 502 FAULT MODEL ANALYSIS BY PARASITIC EXTRACTION METHOD FOR EMBEDDED SRAM M.Parvathi 1 , N. Vasantha2 , K. Satya Prasad3 1 Research Scholar, Electronics and Communication Engineering, JNTUK, AP, India, pbmuddapu@gmail.com 2 Professor & HOD, IT Dept, Vasavi College of Engineering, AP, India, vasanthavasantha@rediffmail.com 3 Professor, Electronics and Communication Engineering, JNTUK, AP, India, prasad_kodati@yahoo.co.in Abstract Fault analysis plays a significant role in developing detailed fault models for subsequent diagnostics and debugging of a semiconductor memory product. Existing fault models were analyzed in terms of well known March algorithms. Such analysis is able to give information only on either detection of fault or correction. But they are failing to give information based on various constraints such as dynamic power analysis, propagation delay analysis, and the bit line capacitance influence while reading or writing the data. Scale down in technology causes changes in parasitic effects, which may cause additional source of faulty behavior. Hence we suggest an analysis which includes majority of these constraints with the help of layout based fault model. Finally different parametric values are compared for faulty and fault free SRAM cell. We found that although some of the defects can be mapped to existing fault models, there are many defects that result in undefined fault models. Keywords: fault models, March algorithms, dynamic power analysis, propagation delay analysis, bit line capacitance, layout based fault model, undefined fault models. ---------------------------------------------------------------------***----------------------------------------------------------------------- 1. INTRODUCTION The growth in the technology depends on many facts, one fact is human thrust towards innovation and the other fact is force by existing technology towards upgraded technology to fulfill the drawbacks in the existing technology. This leads to up gradation from VLSI technology to ULSI which results in reduction in the size and the cost but also increases the complexity of the circuits. This has brought significant improvements in performance. In spite of the advantages such as better performance with low cost, significant problems which may encounter the effective use and growth of future VLSI technology. Also the circuit testing becomes more complex as scale of integration increases. Recent developments in system-on chip technology demands large embedded memory to incorporate into a chip. Circuit density increases with levels of integration. Hence efficient testing schemes are needed that assure very high fault coverage while minimizing test costs and chip area overhead. As the complexity of circuits continues to increase, high fault coverage of several types of fault models become more difficult to achieve. The usage of SRAM is continuously increasing in system-on-chip (SOC) designs. Process technology scaling has contributed remarkably in improving the performance of SOC in terms of area and density. As the feature size of components shrinks the sensitivity to faults increases while the faults become more complex. Furthermore, test time grows at least linearly as the number of storage elements per chip increases [1, 2, and 3]. The SRAM cell typically utilizes the minimum sized transistor in order to realize a high density [4]. Technology scaling leads to increased intra die variations and its impact is more pronounced on the SRAM cells. As a result SRAM scaling has become extremely difficult in the advanced technology nodes (e.g., 65, 40, or 32 nm LP CMOS technology). The lowest operational VDD (VDDmin) for embedded memories (SRAM) is limited by either read (cell stability) or write ability. SRAM bit cell functional parameter degradation due to increasing variability and decreasing power supply is of utmost concern. The random threshold variations in sub nanometer technologies have resulted in serious yield issues for realizing low VDD READ/WRITE operations with a 6T SRAM cell. The area of an SRAM cell is very important because the cell area contributes significantly to the silicon area. For instance, SRAM L1 caches occupy a significant portion of many designs. The minimum sized 6T cell in 65 nm occupies 0.4 µm2 (Utsumi et al. 2005), in the 40 nm 0.33 µm2 (Yabuuchi et al. 2007), and in the 32 nm 0.124 µm2 (Chang et al. 2005).As the SRAM cell is scaled, it is difficult to ensure cell stability. For low VDD values, the signal level reduces because of the impact of Vt variations. SRAM cell design can be optimized to minimize the impact of Vt variation on signal read. The SRAM cell beta ratio is defined as the (W/L) of NMOS pull down transistors of inverter to the PMOS pull up transistor.
  • 2. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 503 The reference number should be shown in square bracket [1]. However the authors name can be used along with the reference number in the running text. The order of reference in the running text should match with the list of references at the end of the paper. Eg1: As per Kong, the density of X increases with Y [9]. Eg 2: It is reported that X increase with Y [45]. 1.1 Power Dissipation Concepts 1) Dynamic Power Dissipation: The main elements in the power dissipation are the switching activity of each node, the supply voltage, the voltage swing on each node, the capacitance at each node and the clock frequency. P=α.f.C.VDD.∆V Where α is the switching activity, C is capacitance, f is clock frequency, and ∆Vis the output voltage swing. 2) Static Power Dissipation: The reason behind the static power dissipation to occur in the circuit is when a node that does not achieve full voltage swing used to drive another circuit. Another reason is leakage currents when the gates operating in the idle mode. CMOS gates consumes a certain amount of power when leakage current passing through the transistors. As the level of doping has to be increased due to transistors shrink in the size causes leakage currents to become larger. This effect is more pronounced when millions of transistors are involved. 3) Reasons of Power Dissipation during Testing: The main reasons for the power dissipation during testing are so many. Few among them are discussed here. More the complexity of the circuit leads to more test time. Hence to save test time, it requires to partition the circuits so that test stimuli can be applied in parallel to all the circuits at a time. But partition of the circuits may leads to high power dissipation. Due to lack of at-speed equipments, delay is introduced in the circuit during testing which also dissipate power. In the testing mode, the correlation between the consecutive patterns is small which causes large switching and hence increases the dynamic power. In normal mode, only a small part of the SOC works but in the test mode all blocks of the SOC remain engaged by applying the large number of test patterns one after the other. Hence, test mode requires more power than normal mode and responsible for increasing chip temperature. Circuit power dissipation in test mode is much higher than the power dissipation in function mode [5]. Some algorithms such as March LR produce a high circuit switching activity, which is the major cause of heat dissipation. Test efficiency correlates with toggle rate, correlation between successive functional inputs may be significant, and however, for test patterns it is generally kept low. Generally faults can be divided in to time invariant (permanent) and time varying categories. A permanent fault is a fault which manifests a faulty behavior regardless of any operating conditions. There are two types of non-permanent faults; they are Transient fault and intermittent fault. Transient fault is a fault which is time dependent, caused by environmental conditions. Intermittent faults are caused by non-environmental conditions such as Loose connections, Deteriorating or aging components, Critical Timing, Resistance and capacitance variation, Physical irregularities, noise (noise disturbs signals in the system). Many fault models have emerged for permanent and transient faults which uses March algorithms. But such fault models are not suitable for the study of intermittent faults. And also they are failing to give information based on various constraints such as dynamic power analysis, propagation delay analysis, and the bit line capacitance/resistance variation while reading/writing the data. By taking all the above said constraints such as read /write latency and power dissipation as measures, the analysis is made on SRAM single cell with and without fault using their layout simulations. In this analysis various parameters such as critical path delay, power dissipation over a range of capacitances, node values in terms of total capacitance, resistance, and dynamic power dissipation, propagation delay were observed and compared. These are all performance measures to consider while optimizing the yield of SRAM. Section II discuss about the impact of bit line capacitances on read and write operations in SRAM cell, Section III discuss about parametric analysis which deals with propagation delay, dynamic power dissipation, and different node values. Section IV discusses results and comparisons and Section V gives conclusions 2. BIT LINE CAPACITANCE AND THE IMPACT ON READ/WRITE OPERATIONS The generic SRAM cell as shown below turns out to be quite similar to the static SR latch. It requires 6 transistors per bit. At first, it seems like the margins in such a memory cell should be good, since it contains two CMOS inverters, which we know have large margins. However, the problems are associated with those access devices connected to the cell. When cell is not accessed, it has great margins; when access devices are on, they act like load devices. Capacitance on bit lines is large enough to act like a voltage source. Read operation is as shown below in fig.1. In this Read Operation assume first that node Q is in the “1” state, we further assume that both bit line are pre charged to VDD, 2.5V, before the read operation is initiated. The read cycle is started by asserting the word line, enabling both
  • 3. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 504 transistors M5 and M 6. During a correct read operation, the values stored in Q and !Q are transferred to the bit lines by leaving BL at its pre charge value and by discharge !BL through M1 – M5 . A careful sizing of the transistors is necessary to avoid accidentally writing a “1” into the cell. This type of malfunction is called “read upset” [6]. Initially, upon the rise of the WL, the intermediate node between these two NMOS transistors M1 & M5, Q, is pulled up toward the pre charge value BL. This voltage rise of Q must stay low enough not to switch inverter of M3 and M4, which will flip the cell. It is necessary to keep the resistance of transistor M5 large enough to prevent this from happening. The boundary constraints on the device sizes can be derived by solving the current equation at the maximum allowed value of the voltage ripple ΔV where ΔV is noise margin of the inverter. Since both M5 and M1 are in velocity saturation region, by equating their current equations the cell ratios can be obtained and is as follows: The cell ratio is (w/l) m1 to (w/l) m5. For large memory arrays, it is desirable to keep the cell size minimal while maintaining read stability. If the transistor m1 is minimum sized, the access pass transistor m5 has to be made weaker by increasing its length. This is undesirable, because it adds to the load of the bit line. The total BL capacitance (Ct ) is divided into three components: internal coupling capacitance due to complementary bit line !BL ( Cbi), external coupling capacitance due to a neighboring bit line BL (Cbx) and an inherent BL capacitance to ground (Cg) composed of coupling to all other parts of the memory (cells, WLs, substrate, etc)[7]. This is expressed as: Ct=Cbi+Cbx+Cg The exact values of these capacitances depend on the layout of the memory and its manufacturing technology. In general, the value of Cg accounts for a large portion of Ct. In literature, reported Cg/Ct ratios range from 40% to over 90% [8]. On the other hand, due to the symmetry of the layout implementation of the bit lines BL and !BLs, the values of Cbi and Cbx are rather close to each other, and therefore we consider them to be equal (Cbi=Cbx=Cb) such that: Ct≈2Cb+Cg. In general SRAM deals with read and write operation. But read operations are more sensitive to the impact of coupling than write operations. During a read operation, the WL accesses the cell and connects it to the pre-charged BLs. Based on the value stored in the cell, a voltage difference develops on the BLs that the sense amplifier subsequently attempts to detect. The presence of Cb causes neighboring BLs to influence the voltage development during a read. If we assume that a defective BL is totally floating, while the neighboring BL develops a voltage V, then the amount of coupling voltage (∆V) induced on the floating BL can be expressed as: Because of excessive bit line BL the common faults may occur in the memory are coupling fault (CFs), Bit line voltage imbalance fault (PSF), Single ended bit-line voltage shift (PSF). The excessiveness in BL occurs when there is coupling between bit line BL and word line WL. Hence one can identify the type of fault by careful study of bit-line capacitance analysis. Fig -1: SRAM cell with Read operation 3. PARAMETRIC ANALYSIS 3.1 Propagation Delay: There are several causes for delay in the 6T SRAM. The first is due to the time it takes the input data to reach bit-lines, which depends on the switching time for both the external writing circuitry and the bit-lines. The others arise from the W/R word-line switching and N1 and N2 turning on, followed by the stored voltages in the memory latch being overwritten. All of these are directly related to the amount of capacitance on the lines or nodes being charged or discharged. When there are process variations within a single memory cell – scenarios more likely to occur at 20nm – read, write, access, or hold failures can occur [9]. Fig -2: Layout for SRAM cell with read operation
  • 4. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 505 The propagation delay is one of the key parameter which affects the performance of SRAM. Increase in rise time will show impact on performance, whereas increase in fall time show direct impact on memory functionality. If fall time increases, pass transistor active period increases (read operation). If the bit line over-discharge, the memory content over-charge during the read operation. If the over-discharge is present this increases the dynamic power dissipation of bit lines, which in turn causes the cell content to flip if the over- discharge period is large. 3.2 Power Dissipation: Technology variation causes process parameters variations such as channel length, width, oxide thickness results in variation in threshold voltage of a device. The threshold voltage mismatch between the transistors on the SRAM array results in various failures such as read/write access and hold failures as well as have a strong impact on leakage of the SRAM array (Roy et al., 2003)[10]. The increase in leakage current through the transistor results in failure of the cell to retain the stored data causing the occurrence of hold failures. The reverse is true that is due to the presence of fault, leakage current increases which in turn increases power dissipation in the chip. 3.3 RC values at Nodes: Each node say bit line or write line on applying signal have greater impact on the performance of the SRAM and have strong dependency on process parameters as well as operating conditions. For example by taking bit line capacitance into consideration several simulations are performed with capacitance varying from 0fF to 100fF with 10fF in steps and observed the power dissipation variation. Similarly overall node capacitance, resistance and delay values also observed in the parametric analysis for both faulty and fault free SRAM cell. 4. RESULTS AND COMPARISONS The circuits for SRAM with read and write operations are developed using Micro wind Dsh2 simulation tool. The logic simulation options are selected such as Time unit in ns, the supply voltage 1.2v, with default gate delay 0.03ns, default wire delay 0.07ns. For the design of transistors default technology i.e. Current CMOS technology 0.12um is selected. In which length of transistor selected as 0.12um, and width is selected as 1.0um for NMOS and 2.0um for PMOS transistor. Similarly for the layout diagrams, simulation was carried using Micro wind tool using Empirical Level 3 model at default room temperature 27 degrees centigrade with 6metal design rule. The results such as propagation delay, power dissipation and node values are obtained individually for read operation, write operation of SRAM and are tabulated in Table 1 and 2. The node values are compared individually between read and write values. Read and Write capacitance comparison is shown in fig.3. It is observed that read operation capacitance is less compare to write operation capacitance. Similarly resistance for read and operation also compared and is shown in fig.4. Table 1 R, C values at various nodes in read circuit 4.1 Simulation Results for SA0: Case I: If gate and source of inverter NMOS transistor M3 are shorted leads to SA0, the corresponding layout is shown in fig 5, Node R,C values are shown in Table 3. Case II: If drain and gate of access transistor M6 are shorted leads to SA0, the corresponding layout is shown in fig.6, Node R,C values are shown in Table 4. Case III: If source and drain of access transistor M6 are shorted leads to SA0, the corresponding layout is shown in fig 7, Node R,C values are shown in Table 5. Case IV: If drain and source of NMOS transistor M1 are shorted leads to SA0, the corresponding layout is shown in fig 8, Node R,C values are shown in Table 6. Capacitance and Resistance variation with SA0 fault in different cases and their comparisons are shown in fig.9&10 respectively. Table 2 R,C values at various nodes in write circuit
  • 5. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 506 Fig -3 Comparison of read and writes capacitances at various nodes Fig -4 Comparison of read and writes resistances at various nodes Fig -5 Layout for faulty cell with SA0 case I Table 3 R,C values at various nodes with sa0 case I Fig -6 Layout for faulty cell with SA0 case II Table 4 R, C values at various nodes with sa0 case ii Fig -7 Layout for faulty cell with SA0 case III Table 5 R, C values at various nodes with sa0 case iii
  • 6. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 507 Fig -8 Layout for faulty cell with SA0 case IV Table 6 R, C values at various nodes with sa0 case iv Fig -9 Comparison of Node capacitances between faulty (SA0) and fault free cell Fig -10 Comparison of Node Resistances between faulty (SA0) and fault free cell 4.2 Simulation Results for SA1: Case I: If gate and source of inverter transistor M4 and gate and source of right access transistor M6 are together shorted leads to sa1 fault, the corresponding layout is shown in fig 11, Node R,C values are shown in Table 7. Case II: If left Access transistor M5 gate and source are shorted leads to SA1 and the corresponding layout is shown in fig.12, Node R,C values are shown in Table 8. Case III: If gate and drain of inverter transistor M2 and gate and source of access transistor M5 are shorted leads to SA1, the corresponding layout is shown in fig. 13, Node R,C values are shown in Table 9. Fig -11 Layout for faulty cell with SA1 case I Table 7 . R, C values at various nodes with sa1 case i Fig -12 Layout for faulty cell with SA1 case II
  • 7. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 508 Table 8 R, C values at various nodes with sa1 case ii Fig -13 Layout for faulty cell with SA1 case III Table 9 R, C VALUES AT VARIOUS NODES WITH SA1 CASE III Capacitance and resistance variation with SA1 fault in different cases and their comparisons are shown in fig 14 & 15 respectively. Fig -14 Comparison of Node capacitances between faulty (SA1) and fault free cell Fig -15 Comparison of Node Resistance between faulty (SA1) and fault free cell 5. CONCLUSION Initially fault free SRAM cell was considered and found capacitance and resistance at each node. From the results it was observed that the capacitances for output of latch Q gives more capacitance i.e. 4.69fF when write operation was carried. Then !Q followed with next highest value i.e. 4.47fF. Whereas when read operation was performed, it was observed that capacitance at !Q is more i.e.4.46fF than Q and is 1.43fF. In both cases writing a „1‟ and reading a „1‟ is chosen. We have proposed four cases for SA0 fault were considered and observed the corresponding R,C values at different nodes. The obtained node values for faulty cell were compared with fault free cell node R,C values. Similarly we proposed three cases for SA1 fault also. A defect-based fault analysis and defect simulation methodology has been presented. The simulation results show the existence of un-testable defects and un-modeled faults presented. The overall analysis with random R,C variations is much suitable for obtaining the better solutions towards improvement of the yield. The analysis is further can be extended by considering more complex faults such as transition and coupling faults. REFERENCES [1] Manoj Vishnoi, Arun Kumar, Minakshi Sanadhya, “Design of Improved Built - In - Self - Test Algorithm (8n) for Single Port Memory” International Journal of Soft Computing and Engineering (IJSCE) ISSN: 2231 - 2307, Volume - 2 , Issue - 5 , November 2012 [2] Macro Command ”Proceedings of the 2005 IEEE International Workshop on Memory Technology, Design, and Testing (MTDT‟05) 1087-4852/05 $20.00 © 2005 IEEE [3] Sachin D.Kohale, Ratnaprabha W.Jasutkar, “Comparative Analysis of Stein‟s and Euclid‟s Algorithm with BIST for GCD Computations ”, IJCSN International Journal of Computer Science and Network,Vol2, Issue 1, 2013 97 ISSN (Online) : 2277- 5420 [4] V. Sharma et al, ”SRAM Design for Wireless Sensor Networks, Analog Circuits and Signal Processing,
  • 8. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 02 Issue: 12 | Dec-2013, Available @ http://www.ijret.org 509 DOI: 10.1007/978-1-4614-4039-0_2, Springer Science Business Media New York 2013 [5] Balwinder singh , Sukhleen Bindra Narang , and Arun Khosla “Address Counter / Generators for Low Power Memory BIST”,IJCSI International Journal of Computer Science Issues, Vol. 8, Issue 4, No 1, July 2011 ISSN (Online): 1694-0814 www.IJCSI.org 562 [6] “Digital Integrated Circuits” by Jan M.Rabaey, Anantha Chandrakasan and Borivoje Nikolic, Second Edition, Pearson Prentice Hall. [7] Irobi, S. Hamdioui, S.”Bit line coupling memory tests for single-cell fails in SRAMs”, VLSI Test Symposium (VTS), 2010 ,27 - 32 ,978-1-4244-6649-8 ,Santa Cruz, CA [8] K. Takeda et al. “ A 16-mb 400-mhz load less cmos four transistor sram macro”, In IEEE J. Solid-State Circuits, 35(11):1631–1640, 2000. [9] http://www.techdesignforums.com [10] Roy, K., S. Mukopadhyay and H.Mahamoodi, 2003, “Leakage current mechanisms and leakage Reduction techniques in deep-sub micrometer CMOS circuits.”, Proc. IEEE., 91: 305-327. DOI: DOI: 10.1109/JPROC.2002.808156. [11] N.M. Sivamangai and Gunavathi,”Design For Test Technique for Leakage Power Reduction in Nano scale Static Random Access Memory”, Journal of Computer Science 7 (8): 1252-1260, 2011 ISSN 1549-3636 © 2011 Science Publications BIOGRAPHIES Mrs. M.Parvathi has done her B.Tech in Electronics and Communication Engineering from N.I.T. Warangl, A.P, India. She has done her M.Tech (dsce) from JNTUH, A.P, India. She is pursuing her Ph.D in the field of VLSI Design from JNTUK, Kakinada, A.P, India. She has 12 years of experience in teaching. She has published few Science and Technical papers in various International and National reputed Journals and Conferences. She is member of IEEE and life member of IETE and ISTE Technical Societies. Dr..N.Vasantha has done her B.E from College of Engineering, Guindy, Madras University and M.Tech in Advanced Electronics from JNTUH, Hyderabad, AP, India. She received her Doctorate in ECE in the field of VLSI Design from Osmania University. She is serving as Head of the Department of I.T and has more than twenty years of experience in teaching. She is senior member of IEEE and life member of IETE and ISTE Technical Societies. She has published more than twenty Science and Technical papers in various International and National reputed journals and conferences. Dr. K.Satya Prasad was born in 1955 in Kakinada, Andhra Pradesh, India. Since 1980 he is in service of Teaching Profession. He served as Rector in J.N.T.U.K, Kakinada, Andhra Pradesh, India. He guided 13 PhD Scholars. He was author of text book Electronics Devices and Circuits. He is member of Technical Societies such as IEEE, and life member of IETE and ISTE and Fellow member of IE. He organized as convener over 8 conferences. He has 17 publications in various national and international journals. His areas of interest are Signals Systems, Communications, and DSP.