SlideShare a Scribd company logo
‫األنظمة‬ ‫بناء‬ ‫في‬ ‫للبرمجة‬ ‫القابلة‬ ‫ّة‬‫ي‬‫المنطق‬ ‫الدوائر‬ ‫ّة‬‫ي‬‫أهم‬ ‫عن‬ ‫مقدمة‬
‫الحديثة‬ ‫ّة‬‫ي‬‫الرقم‬
‫السنين‬ ‫عبر‬ ‫وتطورها‬ ‫للبرمجة‬ ‫القابلة‬ ‫الدوائر‬ ‫أنواع‬
‫نوع‬ ‫من‬ ‫الذاكرات‬ ‫استخدام‬PROM‫ّة‬‫ي‬‫المنطق‬ ‫النظم‬ ‫تصميم‬ ‫في‬
‫مثال‬:‫لمفترق‬ ‫مرور‬ ‫بإشارة‬ ‫التحكم‬‫طرق‬.
‫برمجية‬ ‫استخدام‬ ‫على‬ ‫التدرب‬ISPLEVER Classic‫في‬
‫قاعدة‬ ‫على‬ ‫ّة‬‫ي‬‫منطق‬ ‫نظم‬ ‫تصميم‬PAL, GAL, CPLD
‫بسيطة‬ ‫أمثلة‬ ‫على‬ ‫تطبيق‬
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫إلى‬ ‫المنطقية‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫تقسيم‬ ‫مكن‬ُ‫ي‬‫نوعين‬:
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
‫التوافقية‬ ‫ظم‬ُ‫ن‬‫ال‬
‫يكون‬‫النظام‬‫توافقيا‬‫إذا‬‫ما‬‫ارتبطت‬‫مخارجه‬‫ا‬ّ‫ي‬‫حصر‬‫بمداخله‬‫االبتدائية‬
‫مهما‬‫كان‬‫الزمن‬‫أو‬‫تعاقب‬‫تركيبة‬‫المداخل‬.
‫قابل‬ُ‫ت‬‫تركيبة‬‫نة‬ّ‫ي‬‫مع‬‫من‬‫المداخل‬‫تركيبة‬
‫وحيدة‬‫بالنسبة‬‫للمخارج‬‫مهما‬‫كان‬‫الزمن‬.
‫آخر‬ ‫بمعنى‬
‫التوافقية‬ ‫ظم‬ُ‫ن‬‫ال‬
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫نكتفي‬‫بالمداخل‬‫االبتدائية‬‫لتصميم‬‫وبناء‬‫النظام‬
‫التوافقي‬
‫مكن‬ُ‫ي‬‫نم‬‫ذ‬‫جة‬‫النظام‬‫التوافقي‬‫كالتالي‬:
‫توافقي‬‫نظام‬
x1
x2
xn
Y1=f1(x1, x2…xn)
Y2=f2(x1, x2…xn)
Yn=fm(x1, x2…xn)
‫نكتفي‬‫بالمداخل‬‫االبتدائية‬‫لتصميم‬‫وبناء‬‫النظام‬‫التوافقي‬
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫يكون‬‫النظام‬‫تعاقبيا‬‫إذا‬‫ما‬‫ارتبطت‬‫مخارجه‬‫بمداخله‬‫االبتدائية‬
‫وبحالته‬‫السابقة‬‫والتي‬‫ل‬ّ‫ث‬‫م‬ُ‫ت‬‫بمداخل‬‫ثانوية‬‫طلق‬ُ‫ي‬‫عليها‬
‫المتغيرات‬‫الداخلية‬.
‫الحاالت‬ ‫ذات‬ ‫باآلالت‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫هذه‬ ‫عرف‬ُ‫ت‬‫المحددة‬F.S.M
Finites States Machines
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
x1
x2
xn
Z1=f1(x1, x2…xn, q1, q2, …qj)
Zj=f1(x1, x2…xn, q1, q2, …qj)
‫نظام‬
‫توافقي‬ Y1=f1(x1, x2…xn, q1, q2, …qj)
Y2=f1(x1, x2…xn, q1, q2, …qj)
Ym=f1(x1, x2…xn, q1, q2, …qj)
t1
tj
zj
z1
x1
x2
xn
zj
z1
zj
z1
‫مكن‬ُ‫ي‬‫نم‬‫ذ‬‫جة‬‫النظام‬‫الت‬‫عاقبي‬‫كالتالي‬:
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
‫ُمكن‬‫ي‬‫تمثيل‬‫اآلالت‬‫التعاقبية‬‫بإحدى‬‫النموذجين‬:
‫نموذج‬‫ميالي‬Mealy Model
‫نموذج‬‫مور‬Moore Model
‫بالنسبة‬‫لهذا‬‫النموذج‬‫ترتبط‬‫المخارج‬Y‫بمتغيرات‬‫الحالة‬(‫اإلثارة‬)Z.‫ولن‬‫يكون‬
‫للمداخل‬‫تأثيرا‬‫ُباشرا‬‫م‬‫عليها‬.
‫بالنسبة‬‫لهذا‬‫النموذج‬‫ترتبط‬‫المخارج‬Y‫بالمداخل‬X‫و‬‫بمتغيرات‬‫الحالة‬(‫اإلثارة‬)Z.
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
‫ُمكن‬‫ي‬‫تمثيل‬‫اآلالت‬‫التعاقبية‬‫بإحدى‬‫النموذجين‬:
‫نموذج‬‫ميالي‬Mealy Model‫نموذج‬‫مور‬Moore Model
Zm
zP
f
g
Xn
ti
ZP
ZP
f
Xn
ti
g
zP
Ym
‫الزمنية‬ ‫النظر‬ ‫وجهة‬ ‫من‬ ‫التعاقبية‬ ‫النظم‬ ‫ترتيب‬:
‫إلى‬ ‫ترتيبها‬ ‫مكن‬ُ‫ي‬‫عائلتين‬:
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
‫ظم‬ُ‫ن‬‫ال‬‫الالتزامنية‬:
‫ظم‬ُ‫ن‬‫ال‬‫التزامنية‬:
‫االبتدائية‬ ‫المداخل‬ ‫ُستوى‬‫م‬ ‫على‬ ‫تغيير‬ ّ‫أي‬ ‫ظهور‬ ‫عند‬ ‫ّا‬‫ي‬‫لحظ‬ ‫فعلها‬ ‫ة‬ّ‫د‬‫ر‬,
(‫االستجابة‬ ‫زمن‬ ‫استثنينا‬ ‫ما‬ ‫إذا‬.)
‫ُؤقت‬‫م‬‫ال‬ ‫تسمى‬ ‫إشارة‬ ‫مع‬ ‫متزامنة‬ ‫فعلها‬ ‫ة‬ّ‫د‬‫ر‬.‫عند‬ ‫الرجع‬ ‫حلقات‬ ‫ّل‬‫ع‬‫ف‬ُ‫ت‬
‫الزمن‬ ‫في‬ ‫محدودة‬ ‫لحظات‬
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
‫ظم‬ُ‫ن‬‫ال‬‫الالتزامنية‬:
‫ظم‬ُ‫ن‬‫ال‬‫التزامنية‬:
‫توقيت‬
zP
Xn Ym
ZP
‫ذاكرة‬
‫منطقية‬ ‫شبكة‬
‫توافقية‬
YmXn
zP
ZP
‫منطقية‬ ‫شبكة‬
‫توافقية‬
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬‫التزامنية‬
‫ُؤقت‬‫م‬‫ال‬ ‫إشارة‬ ‫من‬ ‫الحافة‬ ‫على‬ ‫أو‬ ‫المستوى‬ ‫على‬ ‫يكون‬ ‫أن‬ ‫للتزامن‬ ‫ُمكن‬‫ي‬
‫النازلة‬ ‫الحافة‬

‫الصاعدة‬ ‫الحافة‬

‫العالي‬ ‫المستوى‬


‫المنخفض‬ ‫المستوى‬
‫ّة‬‫ي‬‫المنطق‬ ‫للنظم‬ ‫المادي‬ ‫االنجاز‬
‫بإحدى‬ ‫االلكترونية‬ ‫الصبغة‬ ‫ذات‬ ‫ة‬ّ‫ي‬‫المنطق‬ ‫النظم‬ ‫نجز‬ُ‫ت‬‫التقنيتين‬:
‫التقنية‬‫ة‬ّ‫ي‬‫التقليد‬:
‫ركبة‬ُ‫م‬ ‫أو‬ ‫بسيطة‬ ‫وظائف‬ ‫ذات‬ ‫قياسية‬ ‫دوائر‬ ‫بتركيب‬ ‫النظام‬ ‫بنى‬ُ‫ي‬
‫ة‬ّ‫ي‬‫معدن‬ ‫بأسالك‬ ‫اللوحات‬ ‫بين‬ ‫التوصيل‬ ‫ويقع‬ ‫مطبوعة‬ ‫لوحات‬ ‫على‬.
‫التقنية‬‫المبرمجة‬:
‫قابلة‬ ‫أساسية‬ ‫نات‬ ّ‫كو‬ُ‫م‬ ‫قاعدة‬ ‫على‬ ‫النظام‬ ‫بنى‬ُ‫ي‬‫للبرمجة‬:
•‫عالجات‬ُ‫م‬‫صغرية‬‫متحكمات‬ ‫أو‬‫صغرية‬,
•‫قابلة‬ ‫حاكمات‬‫للبرمجة‬,
•‫قابلة‬ ‫دوائر‬‫للبرمجة‬,
•‫خاصة‬ ‫دوائر‬.
‫التقليدية‬ ‫التقنية‬:‫القياسية‬ ‫املدجمة‬ ‫ائر‬‫و‬‫الد‬
‫التقليدية‬ ‫التقنية‬:‫القياسية‬ ‫املدجمة‬ ‫ائر‬‫و‬‫الد‬
‫المبرمجة‬ ‫التقنية‬
‫للبرمجة‬ ‫قابلة‬ ‫حاكمات‬PLC ‫دقيقة‬ ‫معالجات‬
‫للبرمجة‬ ‫قابلة‬ ‫دوائر‬
‫خاصة‬ ‫لتطبيقات‬ ‫دمجة‬ُ‫م‬ ‫دوائر‬
ASIC
‫المبرمجة‬ ‫التقنية‬ ‫و‬ ‫التقليدية‬ ‫التقنية‬ ‫بين‬ ‫نة‬‫ر‬‫قا‬ُ‫م‬
‫التقليدية‬ ‫التقنية‬
‫ُتصلبة‬‫م‬:‫ال‬‫ُمكن‬‫ي‬‫التعديل‬‫أو‬
‫التطوير‬‫بسهولة‬
‫الحجم‬‫كبير‬‫بالنسبة‬‫للنظم‬‫المعقدة‬
‫استهالك‬‫كبير‬‫للطاقة‬
‫احتمالية‬‫كبيرة‬‫لألعطال‬‫مع‬‫صعوبة‬
‫اكتشافها‬
‫تكلفة‬‫عالية‬‫في‬‫التنفيذ‬‫والصيانة‬
‫المبرمجة‬ ‫التقنية‬
‫مرنة‬:‫ُمكن‬‫ي‬‫التعديل‬‫والتطوير‬
‫بسهولة‬
‫حجم‬‫ى‬ّ‫ت‬‫صغيرح‬‫مع‬‫ظم‬ُ‫ن‬‫ُركبة‬‫م‬
‫اقتصاد‬‫في‬‫استهالك‬‫الطاقة‬
‫ثوقية‬ ُ‫و‬‫كبيرة‬‫في‬‫التشغيل‬‫مع‬‫ُسر‬‫ي‬
‫في‬‫الصيانة‬‫واإلصالح‬
‫تكلفة‬‫منخفضة‬
‫إمكانية‬‫التحكم‬‫الالمركزي‬‫مع‬
‫الربط‬‫عن‬‫طريق‬‫شبكات‬‫االتصال‬
‫السلكية‬‫والالسلكية‬
‫المبرمجة‬ ‫التقنية‬ ‫روع‬ُ‫ف‬
‫التعليمات‬ ‫برمجة‬ ‫على‬ ‫قائمة‬
‫يكون‬‫الكيان‬‫الصلب‬‫ثابتا‬ّ‫م‬‫ويت‬
‫التصميم‬‫باالعتماد‬‫على‬‫التعليمات‬
‫المعالجة‬‫من‬‫المتحكم‬‫الصغري‬
(‫الكيان‬‫البرمجي‬)
‫ّز‬‫ي‬‫تتم‬‫هذه‬‫التقنية‬‫بمرونة‬‫كبيرة‬‫و‬
‫تكلفة‬‫تصميم‬‫منخفضة‬.
‫ة‬ّ‫د‬‫ر‬‫فعلها‬‫بطيئة‬‫ّا‬‫ي‬‫نسب‬‫و‬‫غير‬
‫ُالئمة‬‫م‬‫للنظم‬‫التي‬‫تتطلب‬‫تشغيال‬
‫في‬‫الزمن‬‫الحقيقي‬Real Time
‫الصلب‬ ‫الجانب‬ ‫برمجة‬ ‫على‬ ‫قائمة‬
ّ‫م‬‫يت‬‫تصميم‬‫الكيان‬‫الصلب‬‫وفق‬
‫الشروط‬‫المطلوبة‬‫باالعتماد‬‫على‬
‫برمجيات‬‫تصميم‬‫خاصة‬.
‫تتطلب‬‫في‬‫بعض‬‫الحاالت‬‫امكانيات‬
‫مادية‬‫خاصة‬,‫ُمكن‬‫ي‬‫و‬‫أن‬‫تكون‬
‫ُكلفة‬‫م‬.
‫ّز‬‫ي‬‫تتم‬‫بسرعة‬‫االستجابة‬‫وهي‬
‫ُالئمة‬‫م‬‫للتطبيقات‬‫في‬‫الزمن‬‫الحقيقي‬
‫المبرمجة‬ ‫التقنية‬:‫التطبيقات‬ ‫نوع‬ ‫حسب‬ ‫تبويب‬
‫العامة‬ ‫التطبيقات‬‫تستخدم‬:
‫الدقيقة‬ ‫المتحكمات‬
‫من‬ ‫المنطقية‬ ‫الدوائر‬‫النوع‬:
‫ذاكرات‬PROM
‫النوع‬ ‫من‬ ‫المصفوفات‬PAL,
GAL, CPLD
‫ّة‬‫ي‬‫الحقل‬ ‫المصفوفات‬FPGA
‫الخاصة‬ ‫التطبيقات‬‫تستخدم‬:
‫من‬ ‫الخاصة‬ ‫الدوائر‬‫نوع‬:
ASIC
ASSP
‫ُعالجات‬‫م‬‫االشارة‬DSP
‫النواقل‬ ‫أشباه‬ ‫بتقنية‬ ‫ة‬ّ‫المنطقي‬ ‫الدوائر‬
‫المدمجة‬ ‫الدوائر‬ICs
‫الخاصة‬ ‫التطبيقات‬
‫القياسية‬ ‫الدوائر‬
‫العامة‬ ‫التطبيقات‬
ASICASSPDSP
TTL, CMOS, ECL
•‫المنطقية‬ ‫البوابات‬
•‫القالبات‬
•‫العدادات‬
•‫المسجالت‬
....‫الخ‬
‫الصلب‬ ‫برمجة‬
HW
‫التعليمات‬ ‫برمجة‬
SW
FPGAPLDMPUMCU
‫للبرمجة‬ ‫القابلة‬ ‫الدوائر‬ ‫تطبيقات‬
‫طلق‬ُ‫ي‬‫و‬ ‫وعسكرية‬ ‫مدنية‬ ‫مختلفة‬ ‫مجاالت‬ ‫في‬ ‫للبرمجة‬ ‫القابلة‬ ‫الدوائر‬ ‫ستخدم‬ُ‫ت‬
‫عليها‬‫األنظمة‬‫نة‬ّ‫م‬‫ض‬ُ‫م‬‫ال‬‫أو‬‫دمجة‬ُ‫م‬‫ال‬Embedded Systems
‫المجاالت‬ ‫هذه‬ ‫من‬‫نذكرعلى‬‫ال‬ ‫المثال‬ ‫سبيل‬‫الحصر‬:
•‫الصناعية‬ ‫العصبية‬ ‫الشبكات‬Artificial neural network
(‫الصناعي‬ ‫الذكاء‬)
•‫ة‬ّ‫ي‬‫المنزل‬ ‫األجهزة‬
•‫األرضية‬ ‫المركبات‬,‫الفضائية‬ ‫و‬ ‫ة‬ّ‫ي‬‫الجو‬
•‫الربوت‬
•‫االتصاالت‬ ‫أجهزة‬
•...‫الخ‬..

More Related Content

What's hot

Learning word 2010 in arabic تعليم وورد 2010 بطريقة مبسطة
Learning word 2010 in arabic تعليم وورد 2010 بطريقة مبسطة Learning word 2010 in arabic تعليم وورد 2010 بطريقة مبسطة
Learning word 2010 in arabic تعليم وورد 2010 بطريقة مبسطة
Kamal Naser
 
الذكاء الاصطناعي ونظم الخبرة
الذكاء الاصطناعي ونظم الخبرةالذكاء الاصطناعي ونظم الخبرة
الذكاء الاصطناعي ونظم الخبرة
Mostafa Gawdat
 
عرض تعليمى عن البوابات الالكترونية Copy
عرض تعليمى عن البوابات الالكترونية   Copyعرض تعليمى عن البوابات الالكترونية   Copy
عرض تعليمى عن البوابات الالكترونية Copy
fifiibrahim
 
مقدمة نظم دعم القرار
مقدمة نظم دعم القرارمقدمة نظم دعم القرار
مقدمة نظم دعم القرار
hozifa_zkria
 
مقدمة في الشبكات
مقدمة في الشبكاتمقدمة في الشبكات
مقدمة في الشبكات
guest9e217f
 

What's hot (20)

Learning word 2010 in arabic تعليم وورد 2010 بطريقة مبسطة
Learning word 2010 in arabic تعليم وورد 2010 بطريقة مبسطة Learning word 2010 in arabic تعليم وورد 2010 بطريقة مبسطة
Learning word 2010 in arabic تعليم وورد 2010 بطريقة مبسطة
 
Dr. Khaled Bakro كتاب أساسيات النظم الرقمية، د. خالد بكرو
   Dr. Khaled Bakro   كتاب أساسيات النظم الرقمية، د. خالد بكرو   Dr. Khaled Bakro   كتاب أساسيات النظم الرقمية، د. خالد بكرو
Dr. Khaled Bakro كتاب أساسيات النظم الرقمية، د. خالد بكرو
 
C++ arabic
C++ arabicC++ arabic
C++ arabic
 
الخوارزميات و المخططات الإنسيابية
الخوارزميات و المخططات الإنسيابيةالخوارزميات و المخططات الإنسيابية
الخوارزميات و المخططات الإنسيابية
 
نظم التشغيل.ppt
نظم التشغيل.pptنظم التشغيل.ppt
نظم التشغيل.ppt
 
مقدمة في قواعد البيانات
مقدمة في قواعد البياناتمقدمة في قواعد البيانات
مقدمة في قواعد البيانات
 
خوازميات و مباديء برمجــة (3) مفهوم البرمجـة
خوازميات و مباديء برمجــة  (3) مفهوم البرمجـةخوازميات و مباديء برمجــة  (3) مفهوم البرمجـة
خوازميات و مباديء برمجــة (3) مفهوم البرمجـة
 
أنظمة المعلومات الإدارية المتقدمة 9001
أنظمة المعلومات الإدارية المتقدمة  9001أنظمة المعلومات الإدارية المتقدمة  9001
أنظمة المعلومات الإدارية المتقدمة 9001
 
الوحدة الثامنة - مقدمة عن أمن المعلومات - مهارات الحاسوب
الوحدة الثامنة - مقدمة عن أمن المعلومات - مهارات الحاسوبالوحدة الثامنة - مقدمة عن أمن المعلومات - مهارات الحاسوب
الوحدة الثامنة - مقدمة عن أمن المعلومات - مهارات الحاسوب
 
الذكاء الاصطناعي ونظم الخبرة
الذكاء الاصطناعي ونظم الخبرةالذكاء الاصطناعي ونظم الخبرة
الذكاء الاصطناعي ونظم الخبرة
 
رخصة قيادة الحاسب الآلي ICDL المحاضرة الأولى والثانية.pdf
رخصة قيادة الحاسب الآلي ICDL المحاضرة الأولى والثانية.pdfرخصة قيادة الحاسب الآلي ICDL المحاضرة الأولى والثانية.pdf
رخصة قيادة الحاسب الآلي ICDL المحاضرة الأولى والثانية.pdf
 
الإدارة الإلكترونية - e-management
الإدارة الإلكترونية - e-managementالإدارة الإلكترونية - e-management
الإدارة الإلكترونية - e-management
 
مقدمة في قواعد البيانات
مقدمة في قواعد البياناتمقدمة في قواعد البيانات
مقدمة في قواعد البيانات
 
عرض تعليمى عن البوابات الالكترونية Copy
عرض تعليمى عن البوابات الالكترونية   Copyعرض تعليمى عن البوابات الالكترونية   Copy
عرض تعليمى عن البوابات الالكترونية Copy
 
أنواع ملفات حفظ التصاميم
أنواع ملفات حفظ التصاميمأنواع ملفات حفظ التصاميم
أنواع ملفات حفظ التصاميم
 
مقدمة نظم دعم القرار
مقدمة نظم دعم القرارمقدمة نظم دعم القرار
مقدمة نظم دعم القرار
 
(Information Technology) مقدمة في تكنلوجويا المعلومات
(Information Technology) مقدمة في تكنلوجويا المعلومات(Information Technology) مقدمة في تكنلوجويا المعلومات
(Information Technology) مقدمة في تكنلوجويا المعلومات
 
تطبيقات الويب
تطبيقات الويبتطبيقات الويب
تطبيقات الويب
 
(Computers) تاريخ الحاسوب ومكوناته
 (Computers) تاريخ الحاسوب ومكوناته (Computers) تاريخ الحاسوب ومكوناته
(Computers) تاريخ الحاسوب ومكوناته
 
مقدمة في الشبكات
مقدمة في الشبكاتمقدمة في الشبكات
مقدمة في الشبكات
 

Similar to مقدمة عن الدوائر المنطقيّة القابلة للبرمجة PLD

abdullah alshehri A+
abdullah alshehri  A+abdullah alshehri  A+
abdullah alshehri A+
abood20
 
Www.kutub.info 5727
Www.kutub.info 5727Www.kutub.info 5727
Www.kutub.info 5727
Adel Totott
 
63048_المحاضرة الثانية.pdf
63048_المحاضرة الثانية.pdf63048_المحاضرة الثانية.pdf
63048_المحاضرة الثانية.pdf
bizhub2
 

Similar to مقدمة عن الدوائر المنطقيّة القابلة للبرمجة PLD (20)

الشبكات
الشبكاتالشبكات
الشبكات
 
المواصفات الفنية لمكونات الكمبيوتر الأساسية
المواصفات الفنية لمكونات الكمبيوتر الأساسيةالمواصفات الفنية لمكونات الكمبيوتر الأساسية
المواصفات الفنية لمكونات الكمبيوتر الأساسية
 
النظام الأساسي للكمبيوتر الشخصي
النظام الأساسي للكمبيوتر الشخصيالنظام الأساسي للكمبيوتر الشخصي
النظام الأساسي للكمبيوتر الشخصي
 
CCNA - Dr. Mostafa Elgamala
CCNA - Dr. Mostafa ElgamalaCCNA - Dr. Mostafa Elgamala
CCNA - Dr. Mostafa Elgamala
 
abdullah alshehri A+
abdullah alshehri  A+abdullah alshehri  A+
abdullah alshehri A+
 
Intro to Arm cortex m3_V2
Intro to Arm cortex m3_V2Intro to Arm cortex m3_V2
Intro to Arm cortex m3_V2
 
Www.kutub.info 5727
Www.kutub.info 5727Www.kutub.info 5727
Www.kutub.info 5727
 
introduction to computer and automation engineering
introduction to computer and automation engineering introduction to computer and automation engineering
introduction to computer and automation engineering
 
Gmtcc presentation Profile_Ar
Gmtcc presentation Profile_ArGmtcc presentation Profile_Ar
Gmtcc presentation Profile_Ar
 
Cour.semestre.01.(info01)
 Cour.semestre.01.(info01) Cour.semestre.01.(info01)
Cour.semestre.01.(info01)
 
مصطلحات نظم الاتصال
مصطلحات نظم الاتصالمصطلحات نظم الاتصال
مصطلحات نظم الاتصال
 
Control system
Control systemControl system
Control system
 
بحث تخرج بعنوان ترجمة العناوين الشبكة
بحث تخرج بعنوان ترجمة العناوين الشبكةبحث تخرج بعنوان ترجمة العناوين الشبكة
بحث تخرج بعنوان ترجمة العناوين الشبكة
 
الدوائرة الرقمية
الدوائرة الرقميةالدوائرة الرقمية
الدوائرة الرقمية
 
عرض البيئة والدوائر الرقمية 01
عرض البيئة والدوائر الرقمية 01عرض البيئة والدوائر الرقمية 01
عرض البيئة والدوائر الرقمية 01
 
انتاج المهمة الأول
انتاج المهمة الأولانتاج المهمة الأول
انتاج المهمة الأول
 
Introduction to computer sciences
Introduction to computer sciencesIntroduction to computer sciences
Introduction to computer sciences
 
Siemens inverter 4
Siemens inverter 4Siemens inverter 4
Siemens inverter 4
 
63048_المحاضرة الثانية.pdf
63048_المحاضرة الثانية.pdf63048_المحاضرة الثانية.pdf
63048_المحاضرة الثانية.pdf
 
Innovative systems icdl lesson 02 arabic
Innovative systems icdl lesson 02 arabicInnovative systems icdl lesson 02 arabic
Innovative systems icdl lesson 02 arabic
 

مقدمة عن الدوائر المنطقيّة القابلة للبرمجة PLD