SlideShare a Scribd company logo
1 of 18
Download to read offline
Andes RISC-V
Processor IP Solutions
Charlie Su, Ph.D.
CTO and EVP
Andes Technology
2020/12/08
2
Taking RISC-V® Mainstream
Andes Technology Corporation
CPU
Pure-play
CPU IP Vendor
RISC-V Founding
Premier Member
Major Open-Source
Contributor/Maintainer
RISC-V Ambassador
Running Task Groups
TSC Vice Chair
Director of the Board
Who We Are
USA
IL
FR
NL
BJ
SH
SZ
KR
JP
TW (HQ)
15-year-old
Public Company
80%
R&D
6B+
Total shipment of
Andes-Embedded™ SoC
17K+
AndeSight IDE
installations
Quick Facts
200+
Licensees
100+years
CPU Experience in Silicon Valley
3
Taking RISC-V® Mainstream
Examples of AndesCore™ in SoC
Bus Matrix
CSR
…
Bus Matrix (16xN)
Mem Mem
… CSR
N25F N25F N25F
…
CSR
…
Bus Matrix (16xN)
Mem Mem
… CSR
N25F N25F N25F
…
Picocom: 5GOpenRANsmallcells
Application Processor, single
AI Accelerators for Servers
with >10 NX27V Cores
• RVV with 512-bit VLEN/SIMD
• Custom instructions
• LLVM compiler
Renesas: ASSP MCU
with configurable V5 cores
• Scalable/configurable performance
• Selectable safety features
• Customization options
• Feature-rich AndeSight IDE
Telink: IoT and Wireless Audio
with D25F embedded
• Strong integer/DSP performance
• Efficient small data processing
• Good development tools
4
Taking RISC-V® Mainstream
Andes V5 Processor Lineup
Application Processing
with Multicore & SMP Linux
AX25MP
A25MP
Application Processing
with Single-core & Linux
AX25
A25
AX27
A27
AX45
A45
Data Processing
with DSP or Vector
D25F D45
Embedded Control
with Integrated FPU
NX25F
N25F
NX45
N45
25-Series:
Fast & Compact
27-Series:
MemBoost
45-Series:
Superscalar
5-stage: 1.1 GHz 8-stage: 1.2 GHz
Notes: 1. Core naming: with “X” is 64-bit (e.g. NX25F) and no “X” is 32-bit (e.g. N25F)
2. V5’s common features include RV-IMACN, Caches, LM, ECC/parity, Branch Prediction, CoDense™, PowerBrake,
StackSafe™, ACE (Andes Custom Extension™); Frequencies is the worst case at 28nm.
N22
2-stage (700 MHz)
AX45MP
A45MP
NX27V
/AX27L2
/A27L2
5
Taking RISC-V® Mainstream
 A27/AX27 + L2$ controller
 AndeStar™ V5 base for “A” cores
 RV*GCN + P
 MMU support
 Andes V5 extensions
 5-stage single-issue cores
 Programmable PMP/PMA
 MemBoost for L1 caches
 Skip unnecessary writes to dcache
 Multiple outstanding data accesses
 I/D cache prefetch
A27L2/AX27L2 Overview
PLIC
Debug
Module
PMU
AXI
SRAM/AHBL SRAM/AHBL
6
Taking RISC-V® Mainstream
 Features:
 Size up to 2MB with 64B lines
 16-way, pseudo-random replacement
 2 tag&data banks with bank interleaving
– Programmable SRAM latencies (setup & delay)
 Prefetching based on access types (I or D)
 128-bit AXI master/slave ports through BIU
 Optional ECC error protection
 Performance with 512KB L2 cache:
 Comparing AX27L2 and AX27
 Memory bandwidth: 2.1x
 Memory latency: 30%
 Specint2k: 1.9x
A27L2/AX27L2: L2$ Controller
PLIC
Debug
Module
PMU
AXI
SRAM/AHBL SRAM/AHBL
7
Taking RISC-V® Mainstream
 AndeStar™ V5 architecture:
 Base: RV*GCN + Andes V5 extensions
 N45/NX45: base
 D45: base + P
 A45/AX45: base + P + MMU
 A45MP/AX45MP: base + P + MMU
 8-stage in-order dual-issue
 Independent pairs with 1 or 2 ALU insns
 Most dependent pairs with 2 ALU insns
 Late ALU for 0-cycle load-use penalty
 Unaligned data accesses
 Low power dynamic branch prediction
 MemBoost memory subsystem
45-Series: Features
45-Series uCore, PMP/PMA
WFI HW
Bkpt
Interrupt
Intf.
D$
MMU*
DSP*/
FPU
I$
Br. Pred
PLIC Debug
PMU
BIU
ILM DLM
Mul/Div
SRAM
SRAM
AXI
*: depending on cores
8
Taking RISC-V® Mainstream
 Virtual memory support:
 MMU and S-mode
 All page sizes and virtual memory
mappings (SV32/39/48)
 Shared TLB: 32-512 entries
 Physical memory support:
 Up to 16-entry PMP and PMA
 L1 I/D Caches:
 Size up to 64KB, 64B lines, up to 4-way
 Cache lock support
 Optional Parity or ECC error protection
 I/D Local Memory (ILM/DLM)
 4KB up to 16MB
 Optional ECC error protection
45-Series: Features
45-Series uCore, PMP/PMA
WFI HW
Bkpt
Interrupt
Intf.
D$
MMU*
DSP*/
FPU
I$
Br. Pred
PLIC Debug
PMU
BIU
ILM DLM
Mul/Div
SRAM
SRAM
AXI
*: depending on cores
9
Taking RISC-V® Mainstream
 Cache coherence scheme
 Directory-based for scalability
 MESI coherence protocol
 45MP Coherence Manager
 Support 1~4 A45/AX45
 IO coherence for cacheless masters
 L2$ Controller (optional)
Similar to that of A*27L2
 Bus Interfaces
 Memory and MMIO ports
 LM slave ports (one per core)
 Coherence slave port
 PLIC for global interrupt handling
 Debug/trace support
 Linux SMP ready
A(X)45MP: Cache-Coherent Multicore
to Memory (AXI-128)
IRQ’s
LM Slave
Ports (AXI)
Trace Ports A45/AX45
ILM DLM
D$
I$
A45/AX45
ILM DLM
D$
I$
...
AndesCore™
A*45MP Multicore
Debug Support
Platform-Level Interrupt Controller
JTAG
MMIO (AXI-128)
L2 Cache Controller
Coherence
Slave Port
(AXI-128)
Coherence Manager
10
Taking RISC-V® Mainstream
 Total compute performance (at 28nm):
 70% higher than the 27-series
 With less than 50% increase in logic area and power
 Memory bandwidth (C copy): 45-series is 35% higher than 27-series
 Running up to 2.4 GHz at 12nm
45-Series: Performance
Coremark® 45-series
(1.2 GHz)
27-series
(1.1 GHz)
Speedup
(Per-MHz)
Speedup
(Total Perf.)
RV32 5.66 3.58 1.58 1.72
RV64 5.50 3.53 1.56 1.70
11
Taking RISC-V® Mainstream
 RVV extension (Vector)
– Scalable vector registers
– For high data rate computations
 Andes Custom Extension™ (ACE)
Andes Solutions for Data Path Acceleration
 RVP extension (DSP/SIMD)
– Integer/fixed-point on already existing GPR
– For audio/voice, small image, slow video
ACE
Framework
Extended
Tools
Inputs:
Attributes
C code
Verilog
Extended
ISS
Extended
RTL
COPILOT Tools
(Custom-OPtimized Instruction deveLOpment Tools)
ISS RTL
Extensible Base Components
Tools
ISS:
- AndeSim near-cycle
accurate simulator
- Imperas fast simulator
12
Taking RISC-V® Mainstream
 AndeStar V5 architecture:
 RV64GCN+ Andes V5 extensions
 Vector ext. (RVV) 1.0: latest spec
 An efficient 5-stage scalar unit
 Optional branch prediction
 FP16 instructions
 I/D caches
 Caches: 8KB to 64KB
 HW unaligned load/store accesses
 Optional parity or ECC protection
 I$/D$ prefetch
 Multiple outstanding data accesses
– Cached and uncached
NX27V: Overview
PLIC
Debug
Module
PMU
AXI Streaming Port
Ports/
Memory
13
Taking RISC-V® Mainstream
 RVV data formats:
 Standard: int8~int64, fp16~fp64
 Andes-extended: bfloat16 and int4
 A powerful Vector Unit (VPU):
 RVV starts execution after retired
 Multiple Functional Units
– Operating in parallel and out of order
– Chainable, and most fully pipelined
 VLEN & SIMD width: 128, 256, 512
 Independent memory access paths:
 RVV load/store thru dcache and system bus
 ACE load/store thru Streaming Port
NX27V: Overview
14
Taking RISC-V® Mainstream
NX27V: ACE Streaming Port
 A usage example
 HW engine: application-specific DMA
and structured computations (e.g. CNN)
 ACE instructions: control HW engine,
and load/store data to/from VRF
 Advantages:
 HW engine is tightly-coupled
 Data accesses are more efficient
(such as address auto-increment
and wrap-around)
NX27V
V P U L/S
Ctl
HW Engine
&
Smart Mem.
data
wide
commands
Streaming Ports
(LMUL-aware)
F W
M
E
D
SRAM
ACE pipeline Execute
bus
insn svload {
operand= {out vr data,
io addrCtl addr,
imm2 mode,
. . .
};
csr_op= {vl};
streaming_port= load;
csim= . . .
. . .
};
15
Taking RISC-V® Mainstream
 RVV is very powerful, but it cannot satisfy everyone.
 ACE makes custom vector instruction possible:
rvv insn dotp {
operands= {out vrf rslt, in vrf vec1, in xrf vec2};
input_format= …; // (quad) widening/narrowing
csim= . . . //Instruction semantics in C
. . . //RTL implementation in concise Verilog
 Designers only need to focus on ELEN-level instruction semantics
 COPILOT auto-generates supports for:
 SW: compiler, debugger, simulator
 RTL: decoding, formatting, dependence checking, chaining, and more
 RVV control-aware (LMUL, SEW, vl)
ACE for Custom Vector Instructions
16
Taking RISC-V® Mainstream
 Domain-specific acceleration
Scalable Acceleration Architecture
NX27V
A
C
E
HW Engine
&
Intelligent
Memory
L1
L2 cache or
memory To/from DMA
P
E
 Separate control from acceleration to optimize them independently
 Programming support: OpenCL
 Popular for heterogeneous multicore architecture with host and devices
 Support RVV intrinsic programming in addition to auto-vectorization
...
L3
P
E
P
E
…
L2
Control
Subsystem
(45/27-Series)
P
E
P
E
…
L2
Multi-cluster architecture
17
Taking RISC-V® Mainstream
 An open framework for a wide spectrum of threat mitigations
 From cyber attacks to physical attacks
 Flexible, scalable, and trustable
 Solutions from Andes and partners
 Scope:
 TEE, crypto acceleration, protection against cyber attacks, countermeasures
for physical attacks
 Hardware and software
AndeSentry™ Security Framework
Thank You !!

More Related Content

What's hot

Closing the RISC-V compliance gap via fuzzing
Closing the RISC-V compliance gap via fuzzingClosing the RISC-V compliance gap via fuzzing
Closing the RISC-V compliance gap via fuzzingRISC-V International
 
PCI Express Verification using Reference Modeling
PCI Express Verification using Reference ModelingPCI Express Verification using Reference Modeling
PCI Express Verification using Reference ModelingDVClub
 
Static partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-VStatic partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-VRISC-V International
 
Riscv 20160507-patterson
Riscv 20160507-pattersonRiscv 20160507-patterson
Riscv 20160507-pattersonKrste Asanovic
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Deepak Shankar
 
Developing Automotive Linux
Developing Automotive LinuxDeveloping Automotive Linux
Developing Automotive LinuxAlison Chaiken
 
03_03_Implementing_PCIe_ATS_in_ARM-based_SoCs_Final
03_03_Implementing_PCIe_ATS_in_ARM-based_SoCs_Final03_03_Implementing_PCIe_ATS_in_ARM-based_SoCs_Final
03_03_Implementing_PCIe_ATS_in_ARM-based_SoCs_FinalGopi Krishnamurthy
 
Online test program generator for RISC-V processors
Online test program generator for RISC-V processorsOnline test program generator for RISC-V processors
Online test program generator for RISC-V processorsRISC-V International
 
Introduction to ARM big.LITTLE technology
Introduction to ARM big.LITTLE technologyIntroduction to ARM big.LITTLE technology
Introduction to ARM big.LITTLE technology義洋 顏
 
HP Blades Presentation
HP Blades PresentationHP Blades Presentation
HP Blades PresentationBhavin Vyas
 
Arm Processors Architectures
Arm Processors ArchitecturesArm Processors Architectures
Arm Processors ArchitecturesMohammed Hilal
 
ISSCC 2018: "Zeppelin": an SoC for Multi-chip Architectures
ISSCC 2018: "Zeppelin": an SoC for Multi-chip ArchitecturesISSCC 2018: "Zeppelin": an SoC for Multi-chip Architectures
ISSCC 2018: "Zeppelin": an SoC for Multi-chip ArchitecturesAMD
 

What's hot (20)

Qemu Pcie
Qemu PcieQemu Pcie
Qemu Pcie
 
SoC: System On Chip
SoC: System On ChipSoC: System On Chip
SoC: System On Chip
 
Pci express technology 3.0
Pci express technology 3.0Pci express technology 3.0
Pci express technology 3.0
 
Closing the RISC-V compliance gap via fuzzing
Closing the RISC-V compliance gap via fuzzingClosing the RISC-V compliance gap via fuzzing
Closing the RISC-V compliance gap via fuzzing
 
PCI Express Verification using Reference Modeling
PCI Express Verification using Reference ModelingPCI Express Verification using Reference Modeling
PCI Express Verification using Reference Modeling
 
RISC-V Introduction
RISC-V IntroductionRISC-V Introduction
RISC-V Introduction
 
Static partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-VStatic partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-V
 
RISC-V Foundation Overview
RISC-V Foundation OverviewRISC-V Foundation Overview
RISC-V Foundation Overview
 
Riscv 20160507-patterson
Riscv 20160507-pattersonRiscv 20160507-patterson
Riscv 20160507-patterson
 
eMMC 5.0 Total IP Solution
eMMC 5.0 Total IP SolutioneMMC 5.0 Total IP Solution
eMMC 5.0 Total IP Solution
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power
 
RISC-V: The Open Era of Computing
RISC-V: The Open Era of ComputingRISC-V: The Open Era of Computing
RISC-V: The Open Era of Computing
 
Developing Automotive Linux
Developing Automotive LinuxDeveloping Automotive Linux
Developing Automotive Linux
 
03_03_Implementing_PCIe_ATS_in_ARM-based_SoCs_Final
03_03_Implementing_PCIe_ATS_in_ARM-based_SoCs_Final03_03_Implementing_PCIe_ATS_in_ARM-based_SoCs_Final
03_03_Implementing_PCIe_ATS_in_ARM-based_SoCs_Final
 
Online test program generator for RISC-V processors
Online test program generator for RISC-V processorsOnline test program generator for RISC-V processors
Online test program generator for RISC-V processors
 
NVMe overview
NVMe overviewNVMe overview
NVMe overview
 
Introduction to ARM big.LITTLE technology
Introduction to ARM big.LITTLE technologyIntroduction to ARM big.LITTLE technology
Introduction to ARM big.LITTLE technology
 
HP Blades Presentation
HP Blades PresentationHP Blades Presentation
HP Blades Presentation
 
Arm Processors Architectures
Arm Processors ArchitecturesArm Processors Architectures
Arm Processors Architectures
 
ISSCC 2018: "Zeppelin": an SoC for Multi-chip Architectures
ISSCC 2018: "Zeppelin": an SoC for Multi-chip ArchitecturesISSCC 2018: "Zeppelin": an SoC for Multi-chip Architectures
ISSCC 2018: "Zeppelin": an SoC for Multi-chip Architectures
 

Similar to Andes RISC-V processor solutions

00 opencapi acceleration framework yonglu_ver2
00 opencapi acceleration framework yonglu_ver200 opencapi acceleration framework yonglu_ver2
00 opencapi acceleration framework yonglu_ver2Yutaka Kawai
 
RISC-V and OpenPOWER open-ISA and open-HW - a swiss army knife for HPC
RISC-V  and OpenPOWER open-ISA and open-HW - a swiss army knife for HPCRISC-V  and OpenPOWER open-ISA and open-HW - a swiss army knife for HPC
RISC-V and OpenPOWER open-ISA and open-HW - a swiss army knife for HPCGanesan Narayanasamy
 
Moving NEON to 64 bits
Moving NEON to 64 bitsMoving NEON to 64 bits
Moving NEON to 64 bitsChiou-Nan Chen
 
Snapdragon SoC and ARMv7 Architecture
Snapdragon SoC and ARMv7 ArchitectureSnapdragon SoC and ARMv7 Architecture
Snapdragon SoC and ARMv7 ArchitectureSantosh Verma
 
HiPEAC 2022-DL4IoT workshop_René Griessl presentation
HiPEAC 2022-DL4IoT workshop_René Griessl presentationHiPEAC 2022-DL4IoT workshop_René Griessl presentation
HiPEAC 2022-DL4IoT workshop_René Griessl presentationVEDLIoT Project
 
Pragmatic optimization in modern programming - modern computer architecture c...
Pragmatic optimization in modern programming - modern computer architecture c...Pragmatic optimization in modern programming - modern computer architecture c...
Pragmatic optimization in modern programming - modern computer architecture c...Marina Kolpakova
 
QEMU and Raspberry Pi. Instant Embedded Development
QEMU and Raspberry Pi. Instant Embedded DevelopmentQEMU and Raspberry Pi. Instant Embedded Development
QEMU and Raspberry Pi. Instant Embedded DevelopmentGlobalLogic Ukraine
 
ODSA Use Case - SmartNIC
ODSA Use Case - SmartNICODSA Use Case - SmartNIC
ODSA Use Case - SmartNICODSA Workgroup
 
Arm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
Arm DynamIQ: Intelligent Solutions Using Cluster Based MultiprocessingArm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
Arm DynamIQ: Intelligent Solutions Using Cluster Based MultiprocessingArm
 
NFV Orchestration for Optimal Performance
NFV Orchestration for Optimal PerformanceNFV Orchestration for Optimal Performance
NFV Orchestration for Optimal Performancedfilppi
 
Jetson AGX Xavier and the New Era of Autonomous Machines
Jetson AGX Xavier and the New Era of Autonomous MachinesJetson AGX Xavier and the New Era of Autonomous Machines
Jetson AGX Xavier and the New Era of Autonomous MachinesDustin Franklin
 
Ukoug15 SIMD outside and inside Oracle 12c (12.1.0.2)
Ukoug15 SIMD outside and inside Oracle 12c (12.1.0.2)Ukoug15 SIMD outside and inside Oracle 12c (12.1.0.2)
Ukoug15 SIMD outside and inside Oracle 12c (12.1.0.2)Laurent Leturgez
 
Flexis QE 32-bit ColdFire® V1 Microcontrollers
Flexis QE 32-bit  ColdFire® V1 Microcontrollers Flexis QE 32-bit  ColdFire® V1 Microcontrollers
Flexis QE 32-bit ColdFire® V1 Microcontrollers Premier Farnell
 
AVX512 assembly language in FFmpeg
AVX512 assembly language in FFmpegAVX512 assembly language in FFmpeg
AVX512 assembly language in FFmpegKieran Kunhya
 

Similar to Andes RISC-V processor solutions (20)

00 opencapi acceleration framework yonglu_ver2
00 opencapi acceleration framework yonglu_ver200 opencapi acceleration framework yonglu_ver2
00 opencapi acceleration framework yonglu_ver2
 
RISC-V and OpenPOWER open-ISA and open-HW - a swiss army knife for HPC
RISC-V  and OpenPOWER open-ISA and open-HW - a swiss army knife for HPCRISC-V  and OpenPOWER open-ISA and open-HW - a swiss army knife for HPC
RISC-V and OpenPOWER open-ISA and open-HW - a swiss army knife for HPC
 
Moving NEON to 64 bits
Moving NEON to 64 bitsMoving NEON to 64 bits
Moving NEON to 64 bits
 
Snapdragon SoC and ARMv7 Architecture
Snapdragon SoC and ARMv7 ArchitectureSnapdragon SoC and ARMv7 Architecture
Snapdragon SoC and ARMv7 Architecture
 
HiPEAC 2022-DL4IoT workshop_René Griessl presentation
HiPEAC 2022-DL4IoT workshop_René Griessl presentationHiPEAC 2022-DL4IoT workshop_René Griessl presentation
HiPEAC 2022-DL4IoT workshop_René Griessl presentation
 
Pragmatic optimization in modern programming - modern computer architecture c...
Pragmatic optimization in modern programming - modern computer architecture c...Pragmatic optimization in modern programming - modern computer architecture c...
Pragmatic optimization in modern programming - modern computer architecture c...
 
QEMU and Raspberry Pi. Instant Embedded Development
QEMU and Raspberry Pi. Instant Embedded DevelopmentQEMU and Raspberry Pi. Instant Embedded Development
QEMU and Raspberry Pi. Instant Embedded Development
 
ARM AAE - Architecture
ARM AAE - ArchitectureARM AAE - Architecture
ARM AAE - Architecture
 
Dpdk applications
Dpdk applicationsDpdk applications
Dpdk applications
 
ODSA Use Case - SmartNIC
ODSA Use Case - SmartNICODSA Use Case - SmartNIC
ODSA Use Case - SmartNIC
 
Arm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
Arm DynamIQ: Intelligent Solutions Using Cluster Based MultiprocessingArm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
Arm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
 
NFV Orchestration for Optimal Performance
NFV Orchestration for Optimal PerformanceNFV Orchestration for Optimal Performance
NFV Orchestration for Optimal Performance
 
Jetson AGX Xavier and the New Era of Autonomous Machines
Jetson AGX Xavier and the New Era of Autonomous MachinesJetson AGX Xavier and the New Era of Autonomous Machines
Jetson AGX Xavier and the New Era of Autonomous Machines
 
A2O Core implementation on FPGA
A2O Core implementation on FPGAA2O Core implementation on FPGA
A2O Core implementation on FPGA
 
CSL_Cochin_c
CSL_Cochin_cCSL_Cochin_c
CSL_Cochin_c
 
Ukoug15 SIMD outside and inside Oracle 12c (12.1.0.2)
Ukoug15 SIMD outside and inside Oracle 12c (12.1.0.2)Ukoug15 SIMD outside and inside Oracle 12c (12.1.0.2)
Ukoug15 SIMD outside and inside Oracle 12c (12.1.0.2)
 
Flexis QE 32-bit ColdFire® V1 Microcontrollers
Flexis QE 32-bit  ColdFire® V1 Microcontrollers Flexis QE 32-bit  ColdFire® V1 Microcontrollers
Flexis QE 32-bit ColdFire® V1 Microcontrollers
 
AVX512 assembly language in FFmpeg
AVX512 assembly language in FFmpegAVX512 assembly language in FFmpeg
AVX512 assembly language in FFmpeg
 
Lec02
Lec02Lec02
Lec02
 
2020 icldla-updated
2020 icldla-updated2020 icldla-updated
2020 icldla-updated
 

More from RISC-V International

London Open Source Meetup for RISC-V
London Open Source Meetup for RISC-VLondon Open Source Meetup for RISC-V
London Open Source Meetup for RISC-VRISC-V International
 
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...RISC-V International
 
Standardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-VStandardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-VRISC-V International
 
Semi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V coresSemi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V coresRISC-V International
 
Reverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipReverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipRISC-V International
 
RISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor FamilyRISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor FamilyRISC-V International
 
RISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_genRISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_genRISC-V International
 
RISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V International
 
RISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V International
 
RISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notesRISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notesRISC-V International
 
RISC-V software state of the union
RISC-V software state of the unionRISC-V software state of the union
RISC-V software state of the unionRISC-V International
 
Ripes tracking computer architecture throught visual and interactive simula...
Ripes   tracking computer architecture throught visual and interactive simula...Ripes   tracking computer architecture throught visual and interactive simula...
Ripes tracking computer architecture throught visual and interactive simula...RISC-V International
 
Open source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process nodeOpen source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process nodeRISC-V International
 

More from RISC-V International (20)

WD RISC-V inliner work effort
WD RISC-V inliner work effortWD RISC-V inliner work effort
WD RISC-V inliner work effort
 
RISC-V Zce Extension
RISC-V Zce ExtensionRISC-V Zce Extension
RISC-V Zce Extension
 
RISC-V Online Tutor
RISC-V Online TutorRISC-V Online Tutor
RISC-V Online Tutor
 
London Open Source Meetup for RISC-V
London Open Source Meetup for RISC-VLondon Open Source Meetup for RISC-V
London Open Source Meetup for RISC-V
 
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...
 
Standardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-VStandardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-V
 
Semi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V coresSemi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V cores
 
Security and functional safety
Security and functional safetySecurity and functional safety
Security and functional safety
 
Reverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipReverse Engineering of Rocket Chip
Reverse Engineering of Rocket Chip
 
RISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor FamilyRISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor Family
 
RISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_genRISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_gen
 
RISC-V 30908 patra
RISC-V 30908 patraRISC-V 30908 patra
RISC-V 30908 patra
 
RISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentor
 
RISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmware
 
RISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notesRISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notes
 
RISC-V software state of the union
RISC-V software state of the unionRISC-V software state of the union
RISC-V software state of the union
 
Ripes tracking computer architecture throught visual and interactive simula...
Ripes   tracking computer architecture throught visual and interactive simula...Ripes   tracking computer architecture throught visual and interactive simula...
Ripes tracking computer architecture throught visual and interactive simula...
 
Porting tock to open titan
Porting tock to open titanPorting tock to open titan
Porting tock to open titan
 
Open j9 jdk on RISC-V
Open j9 jdk on RISC-VOpen j9 jdk on RISC-V
Open j9 jdk on RISC-V
 
Open source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process nodeOpen source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process node
 

Recently uploaded

🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘RTylerCroy
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherRemote DBA Services
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsJoaquim Jorge
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024The Digital Insurer
 
GenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdfGenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdflior mazor
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Scriptwesley chun
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProduct Anonymous
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processorsdebabhi2
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024The Digital Insurer
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Miguel Araújo
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...apidays
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerThousandEyes
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CVKhem
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsMaria Levchenko
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonAnna Loughnan Colquhoun
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024The Digital Insurer
 
HTML Injection Attacks: Impact and Mitigation Strategies
HTML Injection Attacks: Impact and Mitigation StrategiesHTML Injection Attacks: Impact and Mitigation Strategies
HTML Injection Attacks: Impact and Mitigation StrategiesBoston Institute of Analytics
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUK Journal
 

Recently uploaded (20)

🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a Fresher
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 
GenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdfGenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdf
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Script
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CV
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024
 
HTML Injection Attacks: Impact and Mitigation Strategies
HTML Injection Attacks: Impact and Mitigation StrategiesHTML Injection Attacks: Impact and Mitigation Strategies
HTML Injection Attacks: Impact and Mitigation Strategies
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
 

Andes RISC-V processor solutions

  • 1. Andes RISC-V Processor IP Solutions Charlie Su, Ph.D. CTO and EVP Andes Technology 2020/12/08
  • 2. 2 Taking RISC-V® Mainstream Andes Technology Corporation CPU Pure-play CPU IP Vendor RISC-V Founding Premier Member Major Open-Source Contributor/Maintainer RISC-V Ambassador Running Task Groups TSC Vice Chair Director of the Board Who We Are USA IL FR NL BJ SH SZ KR JP TW (HQ) 15-year-old Public Company 80% R&D 6B+ Total shipment of Andes-Embedded™ SoC 17K+ AndeSight IDE installations Quick Facts 200+ Licensees 100+years CPU Experience in Silicon Valley
  • 3. 3 Taking RISC-V® Mainstream Examples of AndesCore™ in SoC Bus Matrix CSR … Bus Matrix (16xN) Mem Mem … CSR N25F N25F N25F … CSR … Bus Matrix (16xN) Mem Mem … CSR N25F N25F N25F … Picocom: 5GOpenRANsmallcells Application Processor, single AI Accelerators for Servers with >10 NX27V Cores • RVV with 512-bit VLEN/SIMD • Custom instructions • LLVM compiler Renesas: ASSP MCU with configurable V5 cores • Scalable/configurable performance • Selectable safety features • Customization options • Feature-rich AndeSight IDE Telink: IoT and Wireless Audio with D25F embedded • Strong integer/DSP performance • Efficient small data processing • Good development tools
  • 4. 4 Taking RISC-V® Mainstream Andes V5 Processor Lineup Application Processing with Multicore & SMP Linux AX25MP A25MP Application Processing with Single-core & Linux AX25 A25 AX27 A27 AX45 A45 Data Processing with DSP or Vector D25F D45 Embedded Control with Integrated FPU NX25F N25F NX45 N45 25-Series: Fast & Compact 27-Series: MemBoost 45-Series: Superscalar 5-stage: 1.1 GHz 8-stage: 1.2 GHz Notes: 1. Core naming: with “X” is 64-bit (e.g. NX25F) and no “X” is 32-bit (e.g. N25F) 2. V5’s common features include RV-IMACN, Caches, LM, ECC/parity, Branch Prediction, CoDense™, PowerBrake, StackSafe™, ACE (Andes Custom Extension™); Frequencies is the worst case at 28nm. N22 2-stage (700 MHz) AX45MP A45MP NX27V /AX27L2 /A27L2
  • 5. 5 Taking RISC-V® Mainstream  A27/AX27 + L2$ controller  AndeStar™ V5 base for “A” cores  RV*GCN + P  MMU support  Andes V5 extensions  5-stage single-issue cores  Programmable PMP/PMA  MemBoost for L1 caches  Skip unnecessary writes to dcache  Multiple outstanding data accesses  I/D cache prefetch A27L2/AX27L2 Overview PLIC Debug Module PMU AXI SRAM/AHBL SRAM/AHBL
  • 6. 6 Taking RISC-V® Mainstream  Features:  Size up to 2MB with 64B lines  16-way, pseudo-random replacement  2 tag&data banks with bank interleaving – Programmable SRAM latencies (setup & delay)  Prefetching based on access types (I or D)  128-bit AXI master/slave ports through BIU  Optional ECC error protection  Performance with 512KB L2 cache:  Comparing AX27L2 and AX27  Memory bandwidth: 2.1x  Memory latency: 30%  Specint2k: 1.9x A27L2/AX27L2: L2$ Controller PLIC Debug Module PMU AXI SRAM/AHBL SRAM/AHBL
  • 7. 7 Taking RISC-V® Mainstream  AndeStar™ V5 architecture:  Base: RV*GCN + Andes V5 extensions  N45/NX45: base  D45: base + P  A45/AX45: base + P + MMU  A45MP/AX45MP: base + P + MMU  8-stage in-order dual-issue  Independent pairs with 1 or 2 ALU insns  Most dependent pairs with 2 ALU insns  Late ALU for 0-cycle load-use penalty  Unaligned data accesses  Low power dynamic branch prediction  MemBoost memory subsystem 45-Series: Features 45-Series uCore, PMP/PMA WFI HW Bkpt Interrupt Intf. D$ MMU* DSP*/ FPU I$ Br. Pred PLIC Debug PMU BIU ILM DLM Mul/Div SRAM SRAM AXI *: depending on cores
  • 8. 8 Taking RISC-V® Mainstream  Virtual memory support:  MMU and S-mode  All page sizes and virtual memory mappings (SV32/39/48)  Shared TLB: 32-512 entries  Physical memory support:  Up to 16-entry PMP and PMA  L1 I/D Caches:  Size up to 64KB, 64B lines, up to 4-way  Cache lock support  Optional Parity or ECC error protection  I/D Local Memory (ILM/DLM)  4KB up to 16MB  Optional ECC error protection 45-Series: Features 45-Series uCore, PMP/PMA WFI HW Bkpt Interrupt Intf. D$ MMU* DSP*/ FPU I$ Br. Pred PLIC Debug PMU BIU ILM DLM Mul/Div SRAM SRAM AXI *: depending on cores
  • 9. 9 Taking RISC-V® Mainstream  Cache coherence scheme  Directory-based for scalability  MESI coherence protocol  45MP Coherence Manager  Support 1~4 A45/AX45  IO coherence for cacheless masters  L2$ Controller (optional) Similar to that of A*27L2  Bus Interfaces  Memory and MMIO ports  LM slave ports (one per core)  Coherence slave port  PLIC for global interrupt handling  Debug/trace support  Linux SMP ready A(X)45MP: Cache-Coherent Multicore to Memory (AXI-128) IRQ’s LM Slave Ports (AXI) Trace Ports A45/AX45 ILM DLM D$ I$ A45/AX45 ILM DLM D$ I$ ... AndesCore™ A*45MP Multicore Debug Support Platform-Level Interrupt Controller JTAG MMIO (AXI-128) L2 Cache Controller Coherence Slave Port (AXI-128) Coherence Manager
  • 10. 10 Taking RISC-V® Mainstream  Total compute performance (at 28nm):  70% higher than the 27-series  With less than 50% increase in logic area and power  Memory bandwidth (C copy): 45-series is 35% higher than 27-series  Running up to 2.4 GHz at 12nm 45-Series: Performance Coremark® 45-series (1.2 GHz) 27-series (1.1 GHz) Speedup (Per-MHz) Speedup (Total Perf.) RV32 5.66 3.58 1.58 1.72 RV64 5.50 3.53 1.56 1.70
  • 11. 11 Taking RISC-V® Mainstream  RVV extension (Vector) – Scalable vector registers – For high data rate computations  Andes Custom Extension™ (ACE) Andes Solutions for Data Path Acceleration  RVP extension (DSP/SIMD) – Integer/fixed-point on already existing GPR – For audio/voice, small image, slow video ACE Framework Extended Tools Inputs: Attributes C code Verilog Extended ISS Extended RTL COPILOT Tools (Custom-OPtimized Instruction deveLOpment Tools) ISS RTL Extensible Base Components Tools ISS: - AndeSim near-cycle accurate simulator - Imperas fast simulator
  • 12. 12 Taking RISC-V® Mainstream  AndeStar V5 architecture:  RV64GCN+ Andes V5 extensions  Vector ext. (RVV) 1.0: latest spec  An efficient 5-stage scalar unit  Optional branch prediction  FP16 instructions  I/D caches  Caches: 8KB to 64KB  HW unaligned load/store accesses  Optional parity or ECC protection  I$/D$ prefetch  Multiple outstanding data accesses – Cached and uncached NX27V: Overview PLIC Debug Module PMU AXI Streaming Port Ports/ Memory
  • 13. 13 Taking RISC-V® Mainstream  RVV data formats:  Standard: int8~int64, fp16~fp64  Andes-extended: bfloat16 and int4  A powerful Vector Unit (VPU):  RVV starts execution after retired  Multiple Functional Units – Operating in parallel and out of order – Chainable, and most fully pipelined  VLEN & SIMD width: 128, 256, 512  Independent memory access paths:  RVV load/store thru dcache and system bus  ACE load/store thru Streaming Port NX27V: Overview
  • 14. 14 Taking RISC-V® Mainstream NX27V: ACE Streaming Port  A usage example  HW engine: application-specific DMA and structured computations (e.g. CNN)  ACE instructions: control HW engine, and load/store data to/from VRF  Advantages:  HW engine is tightly-coupled  Data accesses are more efficient (such as address auto-increment and wrap-around) NX27V V P U L/S Ctl HW Engine & Smart Mem. data wide commands Streaming Ports (LMUL-aware) F W M E D SRAM ACE pipeline Execute bus insn svload { operand= {out vr data, io addrCtl addr, imm2 mode, . . . }; csr_op= {vl}; streaming_port= load; csim= . . . . . . };
  • 15. 15 Taking RISC-V® Mainstream  RVV is very powerful, but it cannot satisfy everyone.  ACE makes custom vector instruction possible: rvv insn dotp { operands= {out vrf rslt, in vrf vec1, in xrf vec2}; input_format= …; // (quad) widening/narrowing csim= . . . //Instruction semantics in C . . . //RTL implementation in concise Verilog  Designers only need to focus on ELEN-level instruction semantics  COPILOT auto-generates supports for:  SW: compiler, debugger, simulator  RTL: decoding, formatting, dependence checking, chaining, and more  RVV control-aware (LMUL, SEW, vl) ACE for Custom Vector Instructions
  • 16. 16 Taking RISC-V® Mainstream  Domain-specific acceleration Scalable Acceleration Architecture NX27V A C E HW Engine & Intelligent Memory L1 L2 cache or memory To/from DMA P E  Separate control from acceleration to optimize them independently  Programming support: OpenCL  Popular for heterogeneous multicore architecture with host and devices  Support RVV intrinsic programming in addition to auto-vectorization ... L3 P E P E … L2 Control Subsystem (45/27-Series) P E P E … L2 Multi-cluster architecture
  • 17. 17 Taking RISC-V® Mainstream  An open framework for a wide spectrum of threat mitigations  From cyber attacks to physical attacks  Flexible, scalable, and trustable  Solutions from Andes and partners  Scope:  TEE, crypto acceleration, protection against cyber attacks, countermeasures for physical attacks  Hardware and software AndeSentry™ Security Framework