SlideShare a Scribd company logo
1 of 8
Osnovi informatike i računarstva
P12 Sekvencijalne prekidačke mreže II:
Sekvencijalni moduli
Doc. dr Aleksandar Spasić, dipl.inž.el.
Sadržaj teme
12.1. Uvod
12.2. Elementarni konačni automati
12.2.1 Lečevi
12.2.1.1 SR leč
12.2.1.2 D leč
12.2.2 Flip-flopovi
12.2.2.1 Metodi taktovanja
12.2.2.2 Kombinovanje lečeva kod flip-flopova
12.2.2.3 Master-slave flip-flop - okidanje na nivo
12.2.2.4 Master-slave JK flip-flop - okidanje na nivo
12.2.2.5 D flip-flop – ivično okidani
12.2.2.6 Ivično okidani JK flip flop
12.2.2.7 T flip-flop
12.3. Standardni sekvencijalni moduli
12.3.1 Registri
12.3.1.1 Registri sa paralelnim upisom i čitanjem
12.3.1.2 Registri sa serijskim upisom i čitanjem
12.3.2. Brojački registri (brojači)
12.3.2.1. Kaskadni (ripple) brojači
12.3.2.2. Sinhroni brojači
12.1. Uvod
Saglasno sa opštom šemom konačnog automata datom na slici za
realizaciju konačnih automata neophodno je izabrati sistem elemenata
od kojih se grade zadati automati.
Taj se problem rešava na osnovu sledeće teoreme:
Teorema: Da bi skup elemenata bio funkcionalno potpun za sintezu
konačnih automata potrebno je i dovolјno da sadrži:
1) Skup logičkih elemenata koji obrazuju funkcionalno potpuni skup za
sintezu kombinacionih mreža,
2) Bar jedan elementarni automat sa dva različita stanja za koji su
zadovolјeni uslovi potpunosti sistema prelaza i izlaza.
12.1. Uvod
Kaže se da automat poseduje potpuni sistem prelaza ako se za
svaki par njegovih unutrašnjih stanja qi i qj može naći bar jedan ulazni
signal koji prevodi automat iz stanja qi u stanje qj. Ovaj uslov treba da
bude zadovolјen i za i = j i za i ≠ j.
Kaže se da Murov automat poseduje potpuni sistem izlaza ako u
svakom stanju izdaje izlazni signal različit od signala koje izdaje u
drugim stanjima.
Tako svi realni Murovi automati sa dva stanja, koji se nazivaju i
elementarni automati, imaju potpun sistem izlaza, jer ako bi izlazni
signali automata za ta dva stanja bili isti, stanja automata se ne bi
mogla fizički razlikovati. Ovi se elementarni automati u praksi najčešće
koriste za tzv. strukturnu sintezu konačnih automata.
12.1. Uvod
U opštem slučaju, struktura sekvencijalnog kola je prikazana na slici.
Memorijski deo M može da pamti veću, ali konačnu, količinu
informacija (p bitova), a kombinacioni deo C može takođe da bude
složen. Informacija koja se čuva u M naziva se stanje kola.
12.1. Uvod
Kao što se vidi sa slike, kombinaciono kolo C prima dva skupa ulaznih
signala: sekundarni (dolaze iz memorije) i primarni (dolaze iz okruženja
kola). Odgovarajuća kombinacija sekundarnih ulaznih promenljivih u
datom trenutku se naziva tekuće stanje kola, dok su sekundarne
promenljive poznate kao promenljive stanja.
12.1. Uvod
Sekvencijalna logička kola se mogu podeliti na sinhrona i asinhrona.
Kod sinhronih kola interna stanja se menjaju u diskretnim vremenskim
trenucima pod kontrolom impulsa za sinhronizaciju koga nazivamo takt
(isprekidane linije na slici).
Talasni oblik taktnog impulsa je obično pravougaoni (slika ispod). "ON"
vreme se definiše kao period dok je signal u stanju 1, a "OFF" vreme
kao period dok je signal u stanju 0.
12.1. Uvod
Prelazi stanja kod sinhronih sekvencijalnih kola se obično javljaju u
trenutku kada postoje prelazi taktnih impulsa bilo sa 0 na 1 ili sa 1 na
0. Prelaz sa 0 na 1 se naziva pozitivna ivica ili usponska ivica, dok
prelaz sa 1 na 0 odgovara negativnoj ili opadajućoj ivici taktnog
signala. Između sukcesivnih taktnih impulsa ne dolazi do promene
informacije koja se čuva u memoriji. Sinhrona sekvencijalna kola su
takođe poznata i kao taktovana sekvencijalna kola.
Kod asinhronih sekvencijalnih kola ne postoji spoljna sinhronizacija
(taktni signal) tako da se prelazi kola sa jednog stanja u drugo iniciraju
promenom primarnih ulaza.

More Related Content

More from AleksandarSpasic5 (20)

OIR-V8.pptx
OIR-V8.pptxOIR-V8.pptx
OIR-V8.pptx
 
OIR10-L5.pptx
OIR10-L5.pptxOIR10-L5.pptx
OIR10-L5.pptx
 
OIR10-L4.pptx
OIR10-L4.pptxOIR10-L4.pptx
OIR10-L4.pptx
 
OIR10-L3.pptx
OIR10-L3.pptxOIR10-L3.pptx
OIR10-L3.pptx
 
OIR10-L2.pptx
OIR10-L2.pptxOIR10-L2.pptx
OIR10-L2.pptx
 
OIR10-L1.pptx
OIR10-L1.pptxOIR10-L1.pptx
OIR10-L1.pptx
 
OIR-V7.pptx
OIR-V7.pptxOIR-V7.pptx
OIR-V7.pptx
 
OIR9-L3.pptx
OIR9-L3.pptxOIR9-L3.pptx
OIR9-L3.pptx
 
OIR9-L2.pptx
OIR9-L2.pptxOIR9-L2.pptx
OIR9-L2.pptx
 
OIR9-L1.pptx
OIR9-L1.pptxOIR9-L1.pptx
OIR9-L1.pptx
 
OIR-V6.pptx
OIR-V6.pptxOIR-V6.pptx
OIR-V6.pptx
 
OIR-V5.pptx
OIR-V5.pptxOIR-V5.pptx
OIR-V5.pptx
 
OIR8-L1.pptx
OIR8-L1.pptxOIR8-L1.pptx
OIR8-L1.pptx
 
OIR8-L2.pptx
OIR8-L2.pptxOIR8-L2.pptx
OIR8-L2.pptx
 
OIR8-L3.pptx
OIR8-L3.pptxOIR8-L3.pptx
OIR8-L3.pptx
 
OIR8-L4.pptx
OIR8-L4.pptxOIR8-L4.pptx
OIR8-L4.pptx
 
OIR8-L5.pptx
OIR8-L5.pptxOIR8-L5.pptx
OIR8-L5.pptx
 
OIR7-L1.pptx
OIR7-L1.pptxOIR7-L1.pptx
OIR7-L1.pptx
 
OIR7-L2.pptx
OIR7-L2.pptxOIR7-L2.pptx
OIR7-L2.pptx
 
OIR7-L3.pptx
OIR7-L3.pptxOIR7-L3.pptx
OIR7-L3.pptx
 

OIR12-L1.pptx

  • 1. Osnovi informatike i računarstva P12 Sekvencijalne prekidačke mreže II: Sekvencijalni moduli Doc. dr Aleksandar Spasić, dipl.inž.el.
  • 2. Sadržaj teme 12.1. Uvod 12.2. Elementarni konačni automati 12.2.1 Lečevi 12.2.1.1 SR leč 12.2.1.2 D leč 12.2.2 Flip-flopovi 12.2.2.1 Metodi taktovanja 12.2.2.2 Kombinovanje lečeva kod flip-flopova 12.2.2.3 Master-slave flip-flop - okidanje na nivo 12.2.2.4 Master-slave JK flip-flop - okidanje na nivo 12.2.2.5 D flip-flop – ivično okidani 12.2.2.6 Ivično okidani JK flip flop 12.2.2.7 T flip-flop 12.3. Standardni sekvencijalni moduli 12.3.1 Registri 12.3.1.1 Registri sa paralelnim upisom i čitanjem 12.3.1.2 Registri sa serijskim upisom i čitanjem 12.3.2. Brojački registri (brojači) 12.3.2.1. Kaskadni (ripple) brojači 12.3.2.2. Sinhroni brojači
  • 3. 12.1. Uvod Saglasno sa opštom šemom konačnog automata datom na slici za realizaciju konačnih automata neophodno je izabrati sistem elemenata od kojih se grade zadati automati. Taj se problem rešava na osnovu sledeće teoreme: Teorema: Da bi skup elemenata bio funkcionalno potpun za sintezu konačnih automata potrebno je i dovolјno da sadrži: 1) Skup logičkih elemenata koji obrazuju funkcionalno potpuni skup za sintezu kombinacionih mreža, 2) Bar jedan elementarni automat sa dva različita stanja za koji su zadovolјeni uslovi potpunosti sistema prelaza i izlaza.
  • 4. 12.1. Uvod Kaže se da automat poseduje potpuni sistem prelaza ako se za svaki par njegovih unutrašnjih stanja qi i qj može naći bar jedan ulazni signal koji prevodi automat iz stanja qi u stanje qj. Ovaj uslov treba da bude zadovolјen i za i = j i za i ≠ j. Kaže se da Murov automat poseduje potpuni sistem izlaza ako u svakom stanju izdaje izlazni signal različit od signala koje izdaje u drugim stanjima. Tako svi realni Murovi automati sa dva stanja, koji se nazivaju i elementarni automati, imaju potpun sistem izlaza, jer ako bi izlazni signali automata za ta dva stanja bili isti, stanja automata se ne bi mogla fizički razlikovati. Ovi se elementarni automati u praksi najčešće koriste za tzv. strukturnu sintezu konačnih automata.
  • 5. 12.1. Uvod U opštem slučaju, struktura sekvencijalnog kola je prikazana na slici. Memorijski deo M može da pamti veću, ali konačnu, količinu informacija (p bitova), a kombinacioni deo C može takođe da bude složen. Informacija koja se čuva u M naziva se stanje kola.
  • 6. 12.1. Uvod Kao što se vidi sa slike, kombinaciono kolo C prima dva skupa ulaznih signala: sekundarni (dolaze iz memorije) i primarni (dolaze iz okruženja kola). Odgovarajuća kombinacija sekundarnih ulaznih promenljivih u datom trenutku se naziva tekuće stanje kola, dok su sekundarne promenljive poznate kao promenljive stanja.
  • 7. 12.1. Uvod Sekvencijalna logička kola se mogu podeliti na sinhrona i asinhrona. Kod sinhronih kola interna stanja se menjaju u diskretnim vremenskim trenucima pod kontrolom impulsa za sinhronizaciju koga nazivamo takt (isprekidane linije na slici). Talasni oblik taktnog impulsa je obično pravougaoni (slika ispod). "ON" vreme se definiše kao period dok je signal u stanju 1, a "OFF" vreme kao period dok je signal u stanju 0.
  • 8. 12.1. Uvod Prelazi stanja kod sinhronih sekvencijalnih kola se obično javljaju u trenutku kada postoje prelazi taktnih impulsa bilo sa 0 na 1 ili sa 1 na 0. Prelaz sa 0 na 1 se naziva pozitivna ivica ili usponska ivica, dok prelaz sa 1 na 0 odgovara negativnoj ili opadajućoj ivici taktnog signala. Između sukcesivnih taktnih impulsa ne dolazi do promene informacije koja se čuva u memoriji. Sinhrona sekvencijalna kola su takođe poznata i kao taktovana sekvencijalna kola. Kod asinhronih sekvencijalnih kola ne postoji spoljna sinhronizacija (taktni signal) tako da se prelazi kola sa jednog stanja u drugo iniciraju promenom primarnih ulaza.