SlideShare a Scribd company logo
1 of 22
Download to read offline
Nanoscale Based Digital VLSI
Device
The Future Of Semiconductor Technology
Presented by:
Neha Patel(23904136)
Priyanka Kumari(23204116)
Content
• Introduction
• Nanoscale devices are crucial for advanced VLSI circuits
• Nanoscale Devices
• Application
• Challenges in Nanoscale Device Integration
• Future Trends
• Reference
Introduction
• Nanoscale Device-Based Digital VLSI Circuits refer to the design and
fabrication of integrated circuits at the nanoscale level, where the key
building blocks are nanoscale devices such as transistors and other
components.
• The term "nanoscale" generally refers to dimensions on the order of
nanometers (1 nm = 10^-9 meters).
• Nanoscale technology has become increasingly important in the field of
digital circuits due to the demand for smaller, faster, and more
energy-efficient electronic devices.
Nanoscale devices are crucial for
advanced VLSI circuits
• Miniaturization and Integration: Miniaturization is the process of
making something smaller, often without significantly compromising its
functionality. This allows the integration of a significantly higher number
of transistors and components on a single chip, which is essential for
creating advanced and complex VLSI circuits.
• Higher Performance: Nanoscale circuits can operate at extremely
high speeds, making them suitable for a wide range of applications,
including high-performance computing, artificial intelligence, and digital
signal processing.
• Low Power Consumption:The smaller transistors typically consume
less power, extending battery life in portable devices and reducing heat
generation.
• Greater Functionality:More transistors and components integrated
onto a chip, VLSI circuits can perform more complex functions and
handle a wider range of tasks. Applications like artificial intelligence, data
processing, and communication systems.
• Advanced Materials and Properties:Materials are chosen for their
unique properties that can enhance the performance, reliability, and
energy efficiency of electronic devices. Materials are Graphene, Carbon
Nanotubes(CNTs),2D materials.
• Reliability and Precision
Nanoscale Devices
• FinFET
• Nanowire Transistor
• Corbon nanotube
• Memristors
• Quantum Dots
• Nenoelectromechanical System
FinFET
Introduction:
• It is a type of multi gate MOSFET.
• It is widely used over planer CMOS FET.
• Fin is a channel in between source and drain.
• FinFET can have two or four or more Fin in same structure.
Structure of FinFET:
V-I Characteristics of FinFET: The drain current increases when the drain
source voltage is applied. Initially it increases linearly and after that it
enters into saturation region.
Advantage:
• Lower power consumption
• Operates at lower voltage
• Operating speed is higher
• Static leakage current is reduced up to 90%.
Disadvantage:
• Fabrication cost is high.
• Controlling the Fin depth is difficult.
Application:
• Used in the microprocessor & smart phones.
Carbon Nanotube
Nanotubes are formed by rolling two-dimensional graphite into a cylindrical
shape structure. Nanotubes are hollow from inside. The diameter of the nanotube is
around 1-3 nanometers. The length of the carbon nanotube is much higher than its
diameter. Nanotube length generally goes to a few micrometers.
Structure and typs of CNT: The crystalline structure of carbon nanotubes
exists in the form of regular hexagons .
Properties of carbon nanotubes:
• Electrical Conductivity – Carbon nanotubes (CNTs) are electrically and
thermally conductive and have a high mechanical strength.
• Strength And Elasticity – In terms of tensile strength and elastic
modulus, carbon nanotubes are the strongest and stiffest materials yet
found.
• Carbon nanotubes are good conductors of heat.
• Carbon nanotubes are chemically neutral. So, they are chemically stable.
Therefore, carbon nanotubes resist corrosion.
Applications:
• Carbon nanotubes are utilized in energy storage, device modelling,
automotive parts, sporting goods, water filters, thin-film electronics,
coatings, actuators, and electromagnetic shields.
Nanowire Transistor
• A significant limitation of CNTs is the inability to control, during
manufacturing, whether the CNT is metallic or semiconducting. This poses
a considerable difficulty for large-scale fabrication. Single-crystal silicon
nanowires have been fabricated, with diameters ranging from 6 nm to 20
nm, and with lengths from 1micron to 10microns.
• Nanowire transistors are extremely small transistors with a wire-like
structure. They provide better control over the flow of current and are
commonly used in digital logic circuits.
• Metallic and semiconductor nanowires have been manufactured to build
wires, diodes, and FETs.
• Homogeneous nanowires can be doped using phosphorous and boron to
have either p- or n-type properties.
Structure of nanowire Transistor
Memristor
• Memristor is a two terminal non linear resistor and it is a passive element
and it does not store energy.
• It remembers the last amount of current passed through it or the last
value of voltage applied to it.
• It is a resistor with memory.
• Memristors are nanoscale devices with the unique ability to change their
resistance based on the history of the voltage applied to it.
Structure of Memristor:
Memristive system:
Fundamental Concept:
Resistor --- It relates Voltage & Current (dv=R.di).
Capacitor--- It relates Voltage & Charge (dq=C.dv).
Inductor --- It relates Current & Magnetic Flux (d Φ =L. di).
Memristor --- It relates Charge and Magnetic Flux by relation
d Φ =M. dq
Quantum Dots
• It is a zero dimension nano structure in which there is confinement of the
particle along all direction. The charge carrier is not free to move in any
direction.
• Quantum Dots (QDs) are semiconducting nanocrystalline materials with
the diameters usually 2 to 10 nm. Example - Si,cadmium selenide,
cadmium sulfide or indium arsenide.
• QDs can produce distinct colors determined by the size of the particles.
Energy level
• In quantum dots the energy level become discrete and the energy gap
become large compared to a bulk made of same material.
• As the nanomaterial become large the energy gap become smaller and
the quantum dot change his colour.
Application
1. Electronics
Advanced Transistors
Quantum Computing
2. Memory Devices
3. Sensors
4. Photonics
5. Medicine
6. Defense and Security
7. Environmental Remediation
Challenges in Nanoscale Device
Integration
Manufacturing Precision: Even tiny variations or defects at the
nanoscale can have a significant impact on device
performance.
Variability: Nanoscale devices are more susceptible to
manufacturing variations and defects, resulting in variations in
device properties.
Reliability: Nanoscale devices are more susceptible to wear and
tear, which can affect their long-term reliability.
Materials Compatibility: Selecting appropriate materials for
nanoscale devices is crucial.
Future Trends
• Miniaturization: Shrinking feature sizes for more compact and powerful
circuits.
• Post-CMOS Technologies: Exploring alternatives to traditional CMOS
transistors as we approach physical limits.
• 3D Integration: Stacking chips to boost performance and reduce power
consumption.
• Energy Efficiency: Green computing with an emphasis on low-power
circuits.
• Biologically Inspired Circuits: Circuit designs inspired by nature.
• Secure Hardware: Hardware security features for safer computing.
• Photonics Integration: On-chip optical communication for faster data
transfer.
Refrences
• M. Lundstrom, ‘‘Is Nanoelectronics the Future of Microelectronics?’’ Proc. Int’l Symp.
Low Power Electronics and Design (ISLPED 02), IEEE Press, 2002, pp. 172-177.
• D. Whang et al., ‘‘Large Scale Hierarchical Organization of Nanowire Arrays for
Integrated Nanosystems,’’ Nano Letters, vol. 3, no. 9, Sept. 2003, pp. 1255-1259.
• A. Bachtold et al., ‘‘Logic Circuits with Carbon Nanotube Transistors,’’ Science, vol.
294, no. 5545, 9 Nov. 2001, pp. 1317-1320.
• S. Rosenblatt et al., ‘‘Mixing at 50 GHz Using a Single-Walled Carbon Nanotube
Transistor,’’ Applied Physics Letters, vol. 87, no. 15, 10 Oct. 2005, pp. 153111.1-
153111.3.
• T. Rueckes et al., ‘‘Carbon Nanotube-Based Nonvolatile Random Access Memory for
Molecular Computing,’’ Science, vol. 289, no. 5476, 7 July 2000, pp. 94-97.
• G. Zhang et al., ‘‘Selective Etching of Metallic Carbon Nanotubes by Gas-Phase
Reaction,’’ Science, vol. 314, no. 5801, 10 Nov. 2006, pp. 974-977.

More Related Content

Similar to Nanoscale Based Digital VLSI Circuits (1) - NEHA PATEL.pptx.pdf

Nanotechnology And Its Applications
Nanotechnology And Its ApplicationsNanotechnology And Its Applications
Nanotechnology And Its Applicationsmandykhera
 
Carbon nanotubes ppt
Carbon nanotubes pptCarbon nanotubes ppt
Carbon nanotubes pptAmal Ray
 
Nanotech copy-130304011722-phpapp02
Nanotech copy-130304011722-phpapp02Nanotech copy-130304011722-phpapp02
Nanotech copy-130304011722-phpapp02Prathvi Poojari
 
Nanotech copy-130304011722-phpapp02
Nanotech copy-130304011722-phpapp02Nanotech copy-130304011722-phpapp02
Nanotech copy-130304011722-phpapp02sudha rajput
 
Nanoelectronics
NanoelectronicsNanoelectronics
NanoelectronicsAakankshaR
 
1. Nanotechnology ppt-1.pptx
1. Nanotechnology ppt-1.pptx1. Nanotechnology ppt-1.pptx
1. Nanotechnology ppt-1.pptxVedanshVed
 
CNT BASED CELL BY MOHD SAFIL BEG
CNT BASED CELL BY MOHD SAFIL BEGCNT BASED CELL BY MOHD SAFIL BEG
CNT BASED CELL BY MOHD SAFIL BEGSafil Beg
 
CNT based cell Seminar
CNT based cell SeminarCNT based cell Seminar
CNT based cell SeminarSafil Beg
 
classi-nanotech-copy-130304011722-phpapp02.pdf
classi-nanotech-copy-130304011722-phpapp02.pdfclassi-nanotech-copy-130304011722-phpapp02.pdf
classi-nanotech-copy-130304011722-phpapp02.pdfmukhtareffendi2
 
nanotech-copy-130304011722-phpapp02 (1).pdf
nanotech-copy-130304011722-phpapp02 (1).pdfnanotech-copy-130304011722-phpapp02 (1).pdf
nanotech-copy-130304011722-phpapp02 (1).pdfAmmarYaser31
 
Nanotechnology.Opportunities&Challenges
Nanotechnology.Opportunities&ChallengesNanotechnology.Opportunities&Challenges
Nanotechnology.Opportunities&Challengeslusik
 
Integrated parasitic elements at high frequencies
Integrated parasitic elements at high frequenciesIntegrated parasitic elements at high frequencies
Integrated parasitic elements at high frequenciesGOPICHAND NAGUBOINA
 

Similar to Nanoscale Based Digital VLSI Circuits (1) - NEHA PATEL.pptx.pdf (20)

Nano technology
Nano technologyNano technology
Nano technology
 
Nanotechnology And Its Applications
Nanotechnology And Its ApplicationsNanotechnology And Its Applications
Nanotechnology And Its Applications
 
Carbon nanotubes ppt
Carbon nanotubes pptCarbon nanotubes ppt
Carbon nanotubes ppt
 
Nanotechnolgy
NanotechnolgyNanotechnolgy
Nanotechnolgy
 
Nanotech copy-130304011722-phpapp02
Nanotech copy-130304011722-phpapp02Nanotech copy-130304011722-phpapp02
Nanotech copy-130304011722-phpapp02
 
Nanotech copy-130304011722-phpapp02
Nanotech copy-130304011722-phpapp02Nanotech copy-130304011722-phpapp02
Nanotech copy-130304011722-phpapp02
 
Nanoelectronics
NanoelectronicsNanoelectronics
Nanoelectronics
 
Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
 
Nanoelectronics
NanoelectronicsNanoelectronics
Nanoelectronics
 
1. Nanotechnology ppt-1.pptx
1. Nanotechnology ppt-1.pptx1. Nanotechnology ppt-1.pptx
1. Nanotechnology ppt-1.pptx
 
CNT BASED CELL BY MOHD SAFIL BEG
CNT BASED CELL BY MOHD SAFIL BEGCNT BASED CELL BY MOHD SAFIL BEG
CNT BASED CELL BY MOHD SAFIL BEG
 
CNT based cell Seminar
CNT based cell SeminarCNT based cell Seminar
CNT based cell Seminar
 
Beyond cmos
Beyond cmosBeyond cmos
Beyond cmos
 
classi-nanotech-copy-130304011722-phpapp02.pdf
classi-nanotech-copy-130304011722-phpapp02.pdfclassi-nanotech-copy-130304011722-phpapp02.pdf
classi-nanotech-copy-130304011722-phpapp02.pdf
 
Nanotech pdf
Nanotech  pdfNanotech  pdf
Nanotech pdf
 
nanotech-copy-130304011722-phpapp02 (1).pdf
nanotech-copy-130304011722-phpapp02 (1).pdfnanotech-copy-130304011722-phpapp02 (1).pdf
nanotech-copy-130304011722-phpapp02 (1).pdf
 
Nanotech ppt
Nanotech  pptNanotech  ppt
Nanotech ppt
 
Nanotechnology.Opportunities&Challenges
Nanotechnology.Opportunities&ChallengesNanotechnology.Opportunities&Challenges
Nanotechnology.Opportunities&Challenges
 
Nanoelectronics
NanoelectronicsNanoelectronics
Nanoelectronics
 
Integrated parasitic elements at high frequencies
Integrated parasitic elements at high frequenciesIntegrated parasitic elements at high frequencies
Integrated parasitic elements at high frequencies
 

Recently uploaded

A Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityA Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityMorshed Ahmed Rahath
 
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...drmkjayanthikannan
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VDineshKumar4165
 
PE 459 LECTURE 2- natural gas basic concepts and properties
PE 459 LECTURE 2- natural gas basic concepts and propertiesPE 459 LECTURE 2- natural gas basic concepts and properties
PE 459 LECTURE 2- natural gas basic concepts and propertiessarkmank1
 
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Call Girls Mumbai
 
Introduction to Data Visualization,Matplotlib.pdf
Introduction to Data Visualization,Matplotlib.pdfIntroduction to Data Visualization,Matplotlib.pdf
Introduction to Data Visualization,Matplotlib.pdfsumitt6_25730773
 
AIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsAIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsvanyagupta248
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdfKamal Acharya
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startQuintin Balsdon
 
Digital Communication Essentials: DPCM, DM, and ADM .pptx
Digital Communication Essentials: DPCM, DM, and ADM .pptxDigital Communication Essentials: DPCM, DM, and ADM .pptx
Digital Communication Essentials: DPCM, DM, and ADM .pptxpritamlangde
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdfKamal Acharya
 
Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaOmar Fathy
 
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxSCMS School of Architecture
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxmaisarahman1
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Arindam Chakraborty, Ph.D., P.E. (CA, TX)
 
💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...
💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...
💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...vershagrag
 
Computer Networks Basics of Network Devices
Computer Networks  Basics of Network DevicesComputer Networks  Basics of Network Devices
Computer Networks Basics of Network DevicesChandrakantDivate1
 
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments""Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"mphochane1998
 
457503602-5-Gas-Well-Testing-and-Analysis-pptx.pptx
457503602-5-Gas-Well-Testing-and-Analysis-pptx.pptx457503602-5-Gas-Well-Testing-and-Analysis-pptx.pptx
457503602-5-Gas-Well-Testing-and-Analysis-pptx.pptxrouholahahmadi9876
 

Recently uploaded (20)

A Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityA Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna Municipality
 
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
PE 459 LECTURE 2- natural gas basic concepts and properties
PE 459 LECTURE 2- natural gas basic concepts and propertiesPE 459 LECTURE 2- natural gas basic concepts and properties
PE 459 LECTURE 2- natural gas basic concepts and properties
 
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
 
Introduction to Data Visualization,Matplotlib.pdf
Introduction to Data Visualization,Matplotlib.pdfIntroduction to Data Visualization,Matplotlib.pdf
Introduction to Data Visualization,Matplotlib.pdf
 
AIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsAIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech students
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdf
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the start
 
Digital Communication Essentials: DPCM, DM, and ADM .pptx
Digital Communication Essentials: DPCM, DM, and ADM .pptxDigital Communication Essentials: DPCM, DM, and ADM .pptx
Digital Communication Essentials: DPCM, DM, and ADM .pptx
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdf
 
Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS Lambda
 
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 
💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...
💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...
💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...
 
Computer Networks Basics of Network Devices
Computer Networks  Basics of Network DevicesComputer Networks  Basics of Network Devices
Computer Networks Basics of Network Devices
 
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments""Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
 
457503602-5-Gas-Well-Testing-and-Analysis-pptx.pptx
457503602-5-Gas-Well-Testing-and-Analysis-pptx.pptx457503602-5-Gas-Well-Testing-and-Analysis-pptx.pptx
457503602-5-Gas-Well-Testing-and-Analysis-pptx.pptx
 

Nanoscale Based Digital VLSI Circuits (1) - NEHA PATEL.pptx.pdf

  • 1. Nanoscale Based Digital VLSI Device The Future Of Semiconductor Technology Presented by: Neha Patel(23904136) Priyanka Kumari(23204116)
  • 2. Content • Introduction • Nanoscale devices are crucial for advanced VLSI circuits • Nanoscale Devices • Application • Challenges in Nanoscale Device Integration • Future Trends • Reference
  • 3. Introduction • Nanoscale Device-Based Digital VLSI Circuits refer to the design and fabrication of integrated circuits at the nanoscale level, where the key building blocks are nanoscale devices such as transistors and other components. • The term "nanoscale" generally refers to dimensions on the order of nanometers (1 nm = 10^-9 meters). • Nanoscale technology has become increasingly important in the field of digital circuits due to the demand for smaller, faster, and more energy-efficient electronic devices.
  • 4. Nanoscale devices are crucial for advanced VLSI circuits • Miniaturization and Integration: Miniaturization is the process of making something smaller, often without significantly compromising its functionality. This allows the integration of a significantly higher number of transistors and components on a single chip, which is essential for creating advanced and complex VLSI circuits. • Higher Performance: Nanoscale circuits can operate at extremely high speeds, making them suitable for a wide range of applications, including high-performance computing, artificial intelligence, and digital signal processing. • Low Power Consumption:The smaller transistors typically consume less power, extending battery life in portable devices and reducing heat generation. • Greater Functionality:More transistors and components integrated onto a chip, VLSI circuits can perform more complex functions and handle a wider range of tasks. Applications like artificial intelligence, data processing, and communication systems.
  • 5. • Advanced Materials and Properties:Materials are chosen for their unique properties that can enhance the performance, reliability, and energy efficiency of electronic devices. Materials are Graphene, Carbon Nanotubes(CNTs),2D materials. • Reliability and Precision
  • 6. Nanoscale Devices • FinFET • Nanowire Transistor • Corbon nanotube • Memristors • Quantum Dots • Nenoelectromechanical System
  • 7. FinFET Introduction: • It is a type of multi gate MOSFET. • It is widely used over planer CMOS FET. • Fin is a channel in between source and drain. • FinFET can have two or four or more Fin in same structure. Structure of FinFET:
  • 8. V-I Characteristics of FinFET: The drain current increases when the drain source voltage is applied. Initially it increases linearly and after that it enters into saturation region.
  • 9. Advantage: • Lower power consumption • Operates at lower voltage • Operating speed is higher • Static leakage current is reduced up to 90%. Disadvantage: • Fabrication cost is high. • Controlling the Fin depth is difficult. Application: • Used in the microprocessor & smart phones.
  • 10. Carbon Nanotube Nanotubes are formed by rolling two-dimensional graphite into a cylindrical shape structure. Nanotubes are hollow from inside. The diameter of the nanotube is around 1-3 nanometers. The length of the carbon nanotube is much higher than its diameter. Nanotube length generally goes to a few micrometers. Structure and typs of CNT: The crystalline structure of carbon nanotubes exists in the form of regular hexagons .
  • 11. Properties of carbon nanotubes: • Electrical Conductivity – Carbon nanotubes (CNTs) are electrically and thermally conductive and have a high mechanical strength. • Strength And Elasticity – In terms of tensile strength and elastic modulus, carbon nanotubes are the strongest and stiffest materials yet found. • Carbon nanotubes are good conductors of heat. • Carbon nanotubes are chemically neutral. So, they are chemically stable. Therefore, carbon nanotubes resist corrosion. Applications: • Carbon nanotubes are utilized in energy storage, device modelling, automotive parts, sporting goods, water filters, thin-film electronics, coatings, actuators, and electromagnetic shields.
  • 12. Nanowire Transistor • A significant limitation of CNTs is the inability to control, during manufacturing, whether the CNT is metallic or semiconducting. This poses a considerable difficulty for large-scale fabrication. Single-crystal silicon nanowires have been fabricated, with diameters ranging from 6 nm to 20 nm, and with lengths from 1micron to 10microns. • Nanowire transistors are extremely small transistors with a wire-like structure. They provide better control over the flow of current and are commonly used in digital logic circuits. • Metallic and semiconductor nanowires have been manufactured to build wires, diodes, and FETs. • Homogeneous nanowires can be doped using phosphorous and boron to have either p- or n-type properties.
  • 13. Structure of nanowire Transistor
  • 14. Memristor • Memristor is a two terminal non linear resistor and it is a passive element and it does not store energy. • It remembers the last amount of current passed through it or the last value of voltage applied to it. • It is a resistor with memory. • Memristors are nanoscale devices with the unique ability to change their resistance based on the history of the voltage applied to it. Structure of Memristor:
  • 16. Fundamental Concept: Resistor --- It relates Voltage & Current (dv=R.di). Capacitor--- It relates Voltage & Charge (dq=C.dv). Inductor --- It relates Current & Magnetic Flux (d Φ =L. di). Memristor --- It relates Charge and Magnetic Flux by relation d Φ =M. dq
  • 17. Quantum Dots • It is a zero dimension nano structure in which there is confinement of the particle along all direction. The charge carrier is not free to move in any direction. • Quantum Dots (QDs) are semiconducting nanocrystalline materials with the diameters usually 2 to 10 nm. Example - Si,cadmium selenide, cadmium sulfide or indium arsenide. • QDs can produce distinct colors determined by the size of the particles.
  • 18. Energy level • In quantum dots the energy level become discrete and the energy gap become large compared to a bulk made of same material. • As the nanomaterial become large the energy gap become smaller and the quantum dot change his colour.
  • 19. Application 1. Electronics Advanced Transistors Quantum Computing 2. Memory Devices 3. Sensors 4. Photonics 5. Medicine 6. Defense and Security 7. Environmental Remediation
  • 20. Challenges in Nanoscale Device Integration Manufacturing Precision: Even tiny variations or defects at the nanoscale can have a significant impact on device performance. Variability: Nanoscale devices are more susceptible to manufacturing variations and defects, resulting in variations in device properties. Reliability: Nanoscale devices are more susceptible to wear and tear, which can affect their long-term reliability. Materials Compatibility: Selecting appropriate materials for nanoscale devices is crucial.
  • 21. Future Trends • Miniaturization: Shrinking feature sizes for more compact and powerful circuits. • Post-CMOS Technologies: Exploring alternatives to traditional CMOS transistors as we approach physical limits. • 3D Integration: Stacking chips to boost performance and reduce power consumption. • Energy Efficiency: Green computing with an emphasis on low-power circuits. • Biologically Inspired Circuits: Circuit designs inspired by nature. • Secure Hardware: Hardware security features for safer computing. • Photonics Integration: On-chip optical communication for faster data transfer.
  • 22. Refrences • M. Lundstrom, ‘‘Is Nanoelectronics the Future of Microelectronics?’’ Proc. Int’l Symp. Low Power Electronics and Design (ISLPED 02), IEEE Press, 2002, pp. 172-177. • D. Whang et al., ‘‘Large Scale Hierarchical Organization of Nanowire Arrays for Integrated Nanosystems,’’ Nano Letters, vol. 3, no. 9, Sept. 2003, pp. 1255-1259. • A. Bachtold et al., ‘‘Logic Circuits with Carbon Nanotube Transistors,’’ Science, vol. 294, no. 5545, 9 Nov. 2001, pp. 1317-1320. • S. Rosenblatt et al., ‘‘Mixing at 50 GHz Using a Single-Walled Carbon Nanotube Transistor,’’ Applied Physics Letters, vol. 87, no. 15, 10 Oct. 2005, pp. 153111.1- 153111.3. • T. Rueckes et al., ‘‘Carbon Nanotube-Based Nonvolatile Random Access Memory for Molecular Computing,’’ Science, vol. 289, no. 5476, 7 July 2000, pp. 94-97. • G. Zhang et al., ‘‘Selective Etching of Metallic Carbon Nanotubes by Gas-Phase Reaction,’’ Science, vol. 314, no. 5801, 10 Nov. 2006, pp. 974-977.