SlideShare a Scribd company logo
1 of 28
Download to read offline
5G/NR RACH -
PRACH
2
The first question poping up in your mind when you first hear about the word
RACH or RACH Process would be 'Why RACH ?', 'What is the functionality/purpose
of RACH process ?', "Why we need this kind of complicated (looks over-
complicated) ?'.
For sure, it is not for confusing you :), RACH has very important functionality
especially in LTE (and in WCDMA as well). The main purpose of RACH can be
described as follows.
i) Achieve UP link synchronization between UE and eNB
ii) Obtain the resource for Message 3 (e.g, RRC Connection Request)
In most of the communication (especially digital comunication regardless of
whether it is wired or wireless), the most important precondition is to establish the
timing synchronization between the reciever and transmitter. So whatever
communication technology you would study, you would see some kind of
synchronization mechanism that is specially designed for the specific
communication.
3
In NR (in LTE and WCDMA as well), the synchronization in downlink (Transmitter = gNB, Reciever =
UE), this synchronization is achieved by the special synchronization channel (special physical signal
pattern). This downlink sync signal gets broadcasted to everybody and it is get transmitted all the
time with a certain interval.
However in Uplink(Transmitter = UE, Reciever = gNB), it is not efficient (actually waste of energy
and causing a lot of interference to other UEs) if UE is using this kind of broadcasting/always-on
synchronization mechanism. You may easily understand this kind of problem. In case of uplink, this
synchronization process should meet following criteria
i) The synchronization process should happen only when there is immediate necessity
ii) The synchronization should be dedicated to only a specific UE
All the complicated/confusing stories in this page is mostly about the process specially designed
mechanism to meet these criteria.
Another purpose of RACH process is to obtain the resource for Msg3 (Message 3). RRC Connection
Request is one example of Msg3 and there are several different types of Msg3 depending on
situation. You would figure out this part in reading through this page and this is not very complicated
to understand.
4
G-NR PRACH:
1.PRACH is a channel to carry preamble from UE for UL synchronization.
2.In 5G there are 13 types of preamble format supported.
3.Format 0, Format 1, Format 2,Format 3 ,Format A1,Format A2,Format
A3,Format B1,Format B2,Format B3,Format B4,Format C0,Format C1.
4.Two types of preamble sequences are there in 5G, 839 and
139 depending on PRACH preamble format.
5.There are 64 preambles defined in each time -frequency PRACH
occasion.
6.Following tables are used for different preamble sequence and PRACH
format. sequence 839 are used for format 0,1,2 and 3 and 139 is used for
others.
5
When we need RACH ?
There are many situation that triggers RACH process. The list of cases are summarized in
38.300-9.2.6 as follows. The first half of the list(i~iv) is same as in LTE. The second half of
the list would be NR specific. We don't have RRC_INACTIVE state (item v), On-Demand SIB
transmition(item vii) in LTE, we have a primitive types of BeamFormaing /
BeamManagement in LTE but not as sophisticated as in NR(item viii). We do have CA(SCell
addition) in LTE but we don't trigger RACH in any of CA activity in LTE(item vi).
i) Initial access from RRC_IDLE;
ii) RRC Connection Re-establishment procedure;
iii) Handover;
iv) DL or UL data arrival during RRC_CONNECTED when UL synchronisation status is "non-
synchronised";
v) Transition from RRC_INACTIVE;
vi) To establish time alignment at SCell addition;
vii) Request for Other SI
viii) Beam failure recovery.
6
Two types of RACH : Contention Based and NonContention Based
Typical 'Contention Based' RACH Procedure is as follows :
i) UE --> NW : RACH Preamble (RA-RNTI, indication for L2/L3 message size)
ii) UE <-- NW : Random Access Response (Timing Advance, T_C-RNTI, UL grant for L2/L3
message)
iii) UE --> NW : L2/L3 message
iv) Message for early contention resolution
Now let's assume that a contention happened at step i). For example, two UEs sent PRACH
.4 In this case, both of the UE will recieve the same T_C-RNTI and resource allocation
at step ii). And as a result, both UE would send L2/L3 message through the same resource
allocation(meaning with the same time/frequency location) to NW at step iii).
What would happen when both UE transmit the exact same information on the exact
same time/frequency location ? One possibility is that these two signal act as
interference to each other and NW decode neither of them.
7
Typical 'Contention Free' RACH Procedure is as
follows :
i) UE <--NW : RACH Preamble
(PRACH) Assignment
ii) UE --> NW : RACH Preamble (RA-RNTI,
indication for L2/L3 message size)
iii) UE <--NW : Random Access Response
(Timing Advance, C-RNTI, UL grant for L2/L3
message)
In this case, none of the UE would have any response (HARQ ACK) from NW
and they all think that RACH process has failed and go back to step i). The
other possibility would be that NW could successfully decode the message from
only one UE and failed to decode it from the other UE. In this case, the UE with
the successful L2/L3 decoding on NW side will get the HARQ ACK from
Network. This HARQ ACK process for step iii) message is called "contention
resolution" process.
PRACH: Overview
 After a cell search, the UE establishes a connection with the cell through a random access
procedure and obtains uplink synchronization.
 PRACH: Used to transmit preamble sequences.
The gNodeB measures the preamble to obtain the transmission delay between the gNodeB and the UE, and informs the
UE of the uplink timing information through the timing advance command.
 PRACH resources:
– Time domain: Time domain position (system frame, subframe, slot, and symbol), duration, and period.
– Frequency domain: Start RB and the number of occupied RBs.
– Code domain: Preamble sequence (root sequence u and cyclic shift parameter v). Each cell has 64 preamble
sequences, which are generated based on the values of u and v.
 The UE is informed of the PRACH resources in the RMSI (SIB1).
9
5G NR PRACH function, 5G NR PRACH contents, mapping and physical layer processing of
5G NR PRACH (Physical Random Access Channel).
PRACH Preamble: Basic Format
 The preamble sequence is classified into the long sequence and short sequence according to the preamble sequence lengths.
• The long sequence uses the sequence design in LTE. There are four formats for the long sequence. The maximum cell radius and typical scenarios in
different formats are as follows:
• The short sequence is a new format in NR. In 3GPP Release 15, the subcarrier spacing can be {15,30} kHz on the sub-6 GHz band, and can be {16,120}
kHz on the above 6 GHz band.
Format Sequence Length Subcarrier Spacing
Time Domain
Duration
Occupied Bandwidth Maximum Cell Radius Typical Scenarios
0 839 1.25 kHz 1.0 ms 1.08 MHz 14.5 km
Low speed and high speed,
normal radius
1 839 1.25 kHz 3.0 ms 1.08 MHz 100.1 km Ultra-wide coverage
2 839 1.25 kHz 3.5 ms 1.08 MHz 21.9 km Weak coverage
3 839 5 kHz 1.0 ms 4.32 MHz 14.5 km Ultra-high-speed
Format Sequence Length Subcarrier Spacing
Time Domain
Duration
Occupied Bandwidth Maximum Cell Radius Typical Scenarios
A1 139 15·2μ (μ=0/1/2/3) 0.14/2μ ms 2.16·2μ MHz 0.937/2μ km small cell
A2 139 15·2μ 0.29/2μ ms 2.16·2μ MHz 2.109/2μ km Normal cell
A3 139 15·2μ 0.43/2μ ms 2.16·2μ MHz 3.515/2μ km Normal cell
B1 139 15·2μ 0.14/2μ ms 2.16·2μ MHz 0.585/2μ km small cell
B2 139 15·2μ 0.29/2μ ms 2.16·2μ MHz 1.054/2μ km Normal cell
B3 139 15·2μ 0.43/2μ ms 2.16·2μ MHz 1.757/2μ km Normal cell
B4 139 15·2μ 0.86/2μ ms 2.16·2μ MHz 3.867/2μ km Normal cell
C0 139 15·2μ 0.14/2μ ms 2.16·2μ MHz 5.351/2μ km Normal Cell
C2 139 15·2μ 0.43/2μ ms 2.16·2μ MHz 9.297/2μ km Normal Cell
PRACH Preamble: Sequence Generation
 ZC sequence generation:
– u: Indicates the root sequence index. The index of the first root sequence of each cell is configured by the gNodeB for the UE. If the first
root sequence is insufficient to generate 64 preambles, the next root sequence is automatically used until 64 preambles are generated.
– LRA indicates the length of the root sequence. The long sequence length is 839, and the short sequence length is 139.
 Cyclic shift:
– The cyclic shift Cv is as follows:
– Ncs: restrictedSetConfig indicates the basic length of the cyclic shift.
– The length of v is the number of preambles that can be generated by one root sequence.
– unrestricted sets is used in low-speed scenarios. restricted sets is used in high-speed scenarios.
 Extension to the frequency domain:
 
( 1)
, 0,1,..., 1
RA
ui i
j
L
u RA
x i e i L
 

  
, ( ) (( ) mod )
u v u v RA
x n x n C L
 
 
CS ZC CS CS
CS
CS
0,1,..., 1, 0 for unrestricted sets
0 0 for unrestricted sets
, for restricted sets
0,1,...,
v
vN v N N N
C N
f v N v
   
 
 

 










1
0
2
,
,
RA
RA
)
(
)
(
L
m
L
mn
j
v
u
v
u e
m
x
n
y

PRACH Preamble: Time Domain Structure
 The preamble consists of two
parts:
– Cyclic prefix (CP)
– Preamble sequence
 Differences in the time domain
of different preamble formats
are as follows:
– CP length
– Sequence length
– GP length
– Number of sequence repetitions
PUSCH
PUSCH, SCS15 kHz
PUSCH, SCS30 kHz
A1, SCS 15kHz
A2, SCS 15kHz
A3, SCS 15 kHz
B1, SCS 15 kHz
B2, SCS 15 kHz
B3, SCS 15 kHz
B4, SCS 15 kHz
C0, SCS 15 kHz
C2, SCS 15 kHz
CP GP
Sequence
0, SCS 1.25 kHz
CP GP
Sequence
3, SCS 5 kHz
PRACH: Time Domain Position
 When a UE initiates the random access, the UE sends a preamble on the PRACH.
 The time domain position of the PRACH is determined by the frame number, subframe number, slot number, and
occasion number, as shown in the following figures.
… … … …
0 1 2 3 5 6 7 8
PRACH
period
Radio frame where the
PRACH is located
Subframe where the
PRACH is located
PRACH
slot
PRACH occasion
PRACH: Frequency Domain Position
 Frequency position (left) and number of occupied PRBs in frequency domain (right)
System
bandwidth
Initial BWP
PRACH
Sequence Length PRACH SCS PUSCH SCS PRACH PRBs (From the Perspective of PUSCH)
839 1.25 15 6
839 1.25 30 3
839 1.25 60 2
839 5 15 24
839 5 30 12
839 5 60 6
139 15 15 12
139 15 30 6
139 15 60 3
139 30 15 24
139 30 30 12
139 30 60 6
139 60 60 12
139 60 120 6
139 120 60 24
139 120 120 12
15
Beam Management in 5G NR
16
Introduction:
The 5G NR (New Radio) is the latest in the series of 3GPP standards which supports very
high data rate with lower latency compare to its predecessor LTE (4G) technology. 5G NR
supports FR1 and FR2 frequency bands. FR1 is sub-6 GHz, from 450 to 6000 MHz where as
FR2 is mmwave band (from 24.25 GHz to 52.6 GHz).
As the mmwave band uses very high frequency, it leads to propagation loss and other losses.
To compensate for the losses, directional communication is essential at such frequencies.
Antenna arrays with large number of antenna elements make it possible due to smaller
wavelengths. This concept provide beamforming gain to the RF link budget which helps in
compensation of propagation loss. Moreover, large antenna array helps to achieve higher
data rate due to spatial multiplexing technique.
These directional links require accurate alignment of transmitted and received beams. In
order to achieve alignment of beam pair and to have required end to end performance with
desired delay, beam management operations are introduced in the 5G NR. Beam
management operations are essential during Initial Access (i.e. IDLE mode) when UE is not
in connection with gNB and during tracking (i.e. CONNECTED mode) when UE is
exchanging data with the gNB (i.e. network).
17
One of the main new features in NR is the support for analog beam-forming, which is foreseen to
be prevailingatmillimeter-wavefrequencies.Forthispurposeanewframeworkcalledbeammanagement
has been developed in order to support analog beam-forming at both the BS and the UE side.
Beam
managementhasbeendefinedin3GPPasasetofLayer1/2procedurestoacquireandmaintainasetof
BSand/orUEbeams26 thatcanbeusedfordownlinkanduplinktransmission/reception[1].Itincludes a
number of features, such as:
1. • Sweeping. Covering an angular sector by sweeping analog beams over the sector.
2. • Measurement. Measuring the quality of different beams.
3. • Reporting. Reporting beam information such as which beams are best and their measured
qualities.
4. • Determination. Selecting one or a few beams out of a number of candidate beams.
5. • Indication. Indicating which beam or beams has been or have been selected for data
transmission.
6. • Switching. Switching to another beam if another beam gets higher quality than the current
beam.
7. • Recovery. Finding a new beam if the current beam cannot maintain a communication link
due to, e.g., blockage.
Analog BF
● BF weights attached in time domain:
○ All allocation in same symbol is beam formed in
same direction
○ In one subframe, Control, UL and DL may have
their separate BF
○ the bitrates on the fronthaul interfaces are
reduced compared to digital BF
Digital BF
● BF weights attached in frequency domain
(frequency subframe scheduling possible):
○ Different allocation in same symbol can be
beam formed in different directions
○ In one subframe, Control, UL and DL may have
their separate BF
● Beamforming - scheduling in time/frequency/spatial (beam- or directional) domain,
○ has to be considered when the scheduling prioritizations and link adaptation decisions are taken
Beamforming
Digital vs Analog
Time
Time
19
Beam Management
The beam management is nothing but a procedure
with set of phases like,
(a) Beam sweeping
(b) Beam measurements
(c) Beam determination
(d) Beam reporting
(e) Beam failure recovery
20
(a) Beam sweeping:
Beam Sweeping is a technique to transmit the beams in all predefined directions in a burst in a
regular interval. For example, the first step in mobile terminal attach procedure is Initial Access,
which is to synchronize with system and receive the minimum system information broadcast. So
a “SS Block” carries the PSS, the SSS and the PBCH, and it will be repeated in predefined
directions (beams) in time domain in 5ms window, this is called a SS burst, and this SS burst will
be repeated in 20ms periodicity typically. Below diagram illustrates the concept.
21
It’s understandable that above illustration of 20 beams based cell
sector coverage diagram (in the previous section) will not have
fixed beams (always on) with reference signals and
synchronization signals, it’s just for visualization. So it’s clear
now a 32 beams Nokia gNB will transmit 32 SS blocks in
different predefined directions (beams) in regular interval, the set
of directions covered by the SS blocks may or may not cover the
entire set of predefined directions available. The maximum
number of predefined directions (beams / SS blocks) in the SS
burst set is frequency dependent, like up to 3 GHz its “4”, from 3
GHz to 6 GHz its “8”, and from 6 GHz to 52.6 GHz its “64”
22
(b) Beam measurements / (c) Beam determination:
In IDLE mode the measurement is based on SS (Synchronization Signal), and in
the connected mode it’s based on CSI-RS in DL and SRS in UL. The CSI-RS
measurement window configuration like periodicity and time/frequency offsets
are relative to the associated SS burst. The best beam needs to be searched
periodically, by using the SS and CSI-RS measurement results. Like SS blocks,
CSI-RS will also be covered using beam sweeping technique, considering the
overhead in covering all the predefined directions, CSI-RS will be transmitted
only in the subsets of those predefined directions (beams), based on the
locations of the active mobile terminals.
The SRS in UL is similar to LTE spec, the mobile terminal will transmit the SRS
based on gNB directions and gNB will measure SRS to determine the best UL
beam.
The DL beam is determined by the mobile terminal, the criterion is the beam
should be received with maximum signal strength above a predefined threshold.
23
(d) Beam reporting:
In IDLE mode, after the mobile terminal selected a SS block (beam), for that SS block there is
a predefined one or more RACH opportunities with certain time and frequency offset and
direction (special to this SS block only), so that the mobile terminal knows in which transmit
(UL) beam to transmit the RACH preamble. This is a way for mobile terminal to notify the gNB
which one is the best beam. The gNB (transmit/ receive point, TRP) will be indicated to the
mobile terminal in the system information, there is a one to one mapping between beam
sweeping (SS block) blocks. The UE will send PRACH preamble in the UL SS Block
corresponding to the DL SS Block in which the best Signal strength is detected.
Below diagram illustrates the Rx beam to Tx beam mapping during initial access in 5G NR.
In connected mode, the mobile terminal will provide feedback using control channel, in case of link failure and no directions can be recovered
using CSI-RS, the mobile terminal will try to recover the link using the SS bursts.
24
Beam failure recovery:
When the mobile terminal is suffering from poor channel condition, it will get it as a beam failure
indication from lower layers. The mobile terminal will request for a recovery by indicating a new SS
block or CSI-RS, this will be done by starting a RACH procedure. The gNB will transmit a DL
assignment or UL grant on the PDCCH to end the beam failure recovery.
25
B e a m M a n a g e m e n t P r o c e d u r e
Although not explicitly stated in the specifications, downlink beam management has been divided
into three procedures [1]:
• P-1. The purpose of P-1 is to find initial BS Tx beam(s) and possibly also UE Rx beam(s) by
performing a beam sweep over a relatively wide angular sector.
• P-2. This is used for beam refinement of the BS Tx beam(s) by performing a beam sweep in a
more narrow angular sector than in P1.
• P-3. This is used for performing an Rx beam sweep at the UE. In P-3, the BS Tx beam is fixed
during the UE Rx beam sweep.
There are similarities between the procedures and not all procedures are needed. Furthermore, P-2
can be a special case of P-1. An example of how the P-1, P-2, and P-3 procedures can be
performed is schematically illustrated in Fig. 7.14. In P-1, the BS performs a beam sweep over an
angular sector that covers the entire cell by transmitting a unique reference signal in each beam. To
limit the number of beams in such a wide beam sweep the beams could be relatively wide to give
an initial, coarse estimate of the best beam direction. The reference signal could be, e.g., the SSBs
during initial access
26
or a periodic CSI-RS transmission that has been configured for beam management. The UE
measures the power of the received reference signals from all BS Tx beams using a wide Rx
beam and reports to the BS which beam has the highest received power. In P-2, the BS performs
beam refinement by an aperiodic CSI-RS transmission using narrower beams in an angular
sector around the best beam reported by the UE in P-1. The UE measures the power of the
received CSI-RSs from these BS TX beams, still using a wide Rx beam, and it reports to the BS
which of the narrow beams has the highest received power. In P-3, the BS transmits CSI-RS
repeatedly in the best narrow beam reported by the UE in P-2 so that the UE can perform an Rx
beam sweep to find its best Rx beam by measuring the power of the received CSI-RS in each Rx
beam. In the data transmission, the BS uses the best BS Tx beam found during P-2 and the UE
uses the best UE Rx beam found during P-3.
Notethatthisisjustoneexampleofhowtoperformbeammanagementandotherwaysarepossible. For
example, P-1 could be a joint BS Tx/UE Rx beam sweep in which the UE sweeps its Rx beams
for each BS Tx beam. The BS then has to repeat the reference signal transmissions in each BS
Tx beam so that the UE can evaluate different Rx beams for every BS Tx beam. Therefore, this
approach is more costly in terms of reference signaling overhead and beam acquisition time. To
provide robustness against blocking, a UE can be configured to monitor PDCCH on multiple
beam pair links. For example, while data transmission is being performed on an active beam pair
link, the UE can monitor PDCCH on another beam pair as a backup link for swift fallback if there
should be a sudden blockage of the active link.
27
Beam management procedures. Schematic illustration of the beam management procedures P-1,
P-2, and P-3.
28
Thanks

More Related Content

Similar to 5G PRACH Document-KPIs Improvemnt and understanding

L2 MAC LTE PROCEDURES revC
L2 MAC LTE PROCEDURES revCL2 MAC LTE PROCEDURES revC
L2 MAC LTE PROCEDURES revCVincent Daumont
 
Some questions and answers on lte radio interface
Some questions and answers on lte radio interfaceSome questions and answers on lte radio interface
Some questions and answers on lte radio interfaceThananan numatti
 
NR_Frame_Structure_and_Air_Interface_Resources.pptx
NR_Frame_Structure_and_Air_Interface_Resources.pptxNR_Frame_Structure_and_Air_Interface_Resources.pptx
NR_Frame_Structure_and_Air_Interface_Resources.pptxBijoy Banerjee
 
Multi-slot Coded ALOHA with Irregular Degree.pdf
Multi-slot Coded ALOHA with Irregular Degree.pdfMulti-slot Coded ALOHA with Irregular Degree.pdf
Multi-slot Coded ALOHA with Irregular Degree.pdfYAAKOVSOLOMON1
 
RTH-RSS Mac: Path loss exponent estimation with received signal strength loca...
RTH-RSS Mac: Path loss exponent estimation with received signal strength loca...RTH-RSS Mac: Path loss exponent estimation with received signal strength loca...
RTH-RSS Mac: Path loss exponent estimation with received signal strength loca...IOSR Journals
 
Analytical Research of TCP Variants in Terms of Maximum Throughput
Analytical Research of TCP Variants in Terms of Maximum ThroughputAnalytical Research of TCP Variants in Terms of Maximum Throughput
Analytical Research of TCP Variants in Terms of Maximum ThroughputIJLT EMAS
 
UMTS system architecture, protocols & processes
UMTS system architecture, protocols & processesUMTS system architecture, protocols & processes
UMTS system architecture, protocols & processesMuxi ESL
 
A distributed multi channel mac protocol for ad hoc wireless networks
A distributed multi channel mac protocol for ad hoc wireless networksA distributed multi channel mac protocol for ad hoc wireless networks
A distributed multi channel mac protocol for ad hoc wireless networksieeeprojectschennai
 
Umts interview questions
Umts interview questionsUmts interview questions
Umts interview questionsKaran Singh
 
Wcdmahelp blogspot-com 3 g interview
Wcdmahelp blogspot-com 3 g interviewWcdmahelp blogspot-com 3 g interview
Wcdmahelp blogspot-com 3 g interviewBouziane Beldjilali
 
(SIB)System Information Blocks in LTE.docx
(SIB)System Information Blocks in LTE.docx(SIB)System Information Blocks in LTE.docx
(SIB)System Information Blocks in LTE.docxmahmoudsafaei1987
 

Similar to 5G PRACH Document-KPIs Improvemnt and understanding (20)

L2 MAC LTE PROCEDURES revC
L2 MAC LTE PROCEDURES revCL2 MAC LTE PROCEDURES revC
L2 MAC LTE PROCEDURES revC
 
A Performance Comparison of TCP Protocols
A Performance Comparison of TCP Protocols A Performance Comparison of TCP Protocols
A Performance Comparison of TCP Protocols
 
Some questions and answers on lte radio interface
Some questions and answers on lte radio interfaceSome questions and answers on lte radio interface
Some questions and answers on lte radio interface
 
NR_Frame_Structure_and_Air_Interface_Resources.pptx
NR_Frame_Structure_and_Air_Interface_Resources.pptxNR_Frame_Structure_and_Air_Interface_Resources.pptx
NR_Frame_Structure_and_Air_Interface_Resources.pptx
 
Multi-slot Coded ALOHA with Irregular Degree.pdf
Multi-slot Coded ALOHA with Irregular Degree.pdfMulti-slot Coded ALOHA with Irregular Degree.pdf
Multi-slot Coded ALOHA with Irregular Degree.pdf
 
RTH-RSS Mac: Path loss exponent estimation with received signal strength loca...
RTH-RSS Mac: Path loss exponent estimation with received signal strength loca...RTH-RSS Mac: Path loss exponent estimation with received signal strength loca...
RTH-RSS Mac: Path loss exponent estimation with received signal strength loca...
 
Tr 97 67
Tr 97 67Tr 97 67
Tr 97 67
 
Drx in rrc idle
Drx in rrc idleDrx in rrc idle
Drx in rrc idle
 
Analytical Research of TCP Variants in Terms of Maximum Throughput
Analytical Research of TCP Variants in Terms of Maximum ThroughputAnalytical Research of TCP Variants in Terms of Maximum Throughput
Analytical Research of TCP Variants in Terms of Maximum Throughput
 
Zigbee 802-15-4
Zigbee 802-15-4Zigbee 802-15-4
Zigbee 802-15-4
 
Ieee 802.11.n
Ieee 802.11.nIeee 802.11.n
Ieee 802.11.n
 
Ieee 802.11.n
Ieee 802.11.nIeee 802.11.n
Ieee 802.11.n
 
Ieee 802.11.n
Ieee 802.11.nIeee 802.11.n
Ieee 802.11.n
 
Vail 2015 Paper
Vail 2015 PaperVail 2015 Paper
Vail 2015 Paper
 
UMTS system architecture, protocols & processes
UMTS system architecture, protocols & processesUMTS system architecture, protocols & processes
UMTS system architecture, protocols & processes
 
A distributed multi channel mac protocol for ad hoc wireless networks
A distributed multi channel mac protocol for ad hoc wireless networksA distributed multi channel mac protocol for ad hoc wireless networks
A distributed multi channel mac protocol for ad hoc wireless networks
 
Umts interview questions
Umts interview questionsUmts interview questions
Umts interview questions
 
Wcdmahelp blogspot-com 3 g interview
Wcdmahelp blogspot-com 3 g interviewWcdmahelp blogspot-com 3 g interview
Wcdmahelp blogspot-com 3 g interview
 
(SIB)System Information Blocks in LTE.docx
(SIB)System Information Blocks in LTE.docx(SIB)System Information Blocks in LTE.docx
(SIB)System Information Blocks in LTE.docx
 
Wimax 4
Wimax 4Wimax 4
Wimax 4
 

Recently uploaded

MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...Call Girls in Nagpur High Profile
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Call Girls in Nagpur High Profile
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 

Recently uploaded (20)

MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 

5G PRACH Document-KPIs Improvemnt and understanding

  • 2. 2 The first question poping up in your mind when you first hear about the word RACH or RACH Process would be 'Why RACH ?', 'What is the functionality/purpose of RACH process ?', "Why we need this kind of complicated (looks over- complicated) ?'. For sure, it is not for confusing you :), RACH has very important functionality especially in LTE (and in WCDMA as well). The main purpose of RACH can be described as follows. i) Achieve UP link synchronization between UE and eNB ii) Obtain the resource for Message 3 (e.g, RRC Connection Request) In most of the communication (especially digital comunication regardless of whether it is wired or wireless), the most important precondition is to establish the timing synchronization between the reciever and transmitter. So whatever communication technology you would study, you would see some kind of synchronization mechanism that is specially designed for the specific communication.
  • 3. 3 In NR (in LTE and WCDMA as well), the synchronization in downlink (Transmitter = gNB, Reciever = UE), this synchronization is achieved by the special synchronization channel (special physical signal pattern). This downlink sync signal gets broadcasted to everybody and it is get transmitted all the time with a certain interval. However in Uplink(Transmitter = UE, Reciever = gNB), it is not efficient (actually waste of energy and causing a lot of interference to other UEs) if UE is using this kind of broadcasting/always-on synchronization mechanism. You may easily understand this kind of problem. In case of uplink, this synchronization process should meet following criteria i) The synchronization process should happen only when there is immediate necessity ii) The synchronization should be dedicated to only a specific UE All the complicated/confusing stories in this page is mostly about the process specially designed mechanism to meet these criteria. Another purpose of RACH process is to obtain the resource for Msg3 (Message 3). RRC Connection Request is one example of Msg3 and there are several different types of Msg3 depending on situation. You would figure out this part in reading through this page and this is not very complicated to understand.
  • 4. 4 G-NR PRACH: 1.PRACH is a channel to carry preamble from UE for UL synchronization. 2.In 5G there are 13 types of preamble format supported. 3.Format 0, Format 1, Format 2,Format 3 ,Format A1,Format A2,Format A3,Format B1,Format B2,Format B3,Format B4,Format C0,Format C1. 4.Two types of preamble sequences are there in 5G, 839 and 139 depending on PRACH preamble format. 5.There are 64 preambles defined in each time -frequency PRACH occasion. 6.Following tables are used for different preamble sequence and PRACH format. sequence 839 are used for format 0,1,2 and 3 and 139 is used for others.
  • 5. 5 When we need RACH ? There are many situation that triggers RACH process. The list of cases are summarized in 38.300-9.2.6 as follows. The first half of the list(i~iv) is same as in LTE. The second half of the list would be NR specific. We don't have RRC_INACTIVE state (item v), On-Demand SIB transmition(item vii) in LTE, we have a primitive types of BeamFormaing / BeamManagement in LTE but not as sophisticated as in NR(item viii). We do have CA(SCell addition) in LTE but we don't trigger RACH in any of CA activity in LTE(item vi). i) Initial access from RRC_IDLE; ii) RRC Connection Re-establishment procedure; iii) Handover; iv) DL or UL data arrival during RRC_CONNECTED when UL synchronisation status is "non- synchronised"; v) Transition from RRC_INACTIVE; vi) To establish time alignment at SCell addition; vii) Request for Other SI viii) Beam failure recovery.
  • 6. 6 Two types of RACH : Contention Based and NonContention Based Typical 'Contention Based' RACH Procedure is as follows : i) UE --> NW : RACH Preamble (RA-RNTI, indication for L2/L3 message size) ii) UE <-- NW : Random Access Response (Timing Advance, T_C-RNTI, UL grant for L2/L3 message) iii) UE --> NW : L2/L3 message iv) Message for early contention resolution Now let's assume that a contention happened at step i). For example, two UEs sent PRACH .4 In this case, both of the UE will recieve the same T_C-RNTI and resource allocation at step ii). And as a result, both UE would send L2/L3 message through the same resource allocation(meaning with the same time/frequency location) to NW at step iii). What would happen when both UE transmit the exact same information on the exact same time/frequency location ? One possibility is that these two signal act as interference to each other and NW decode neither of them.
  • 7. 7 Typical 'Contention Free' RACH Procedure is as follows : i) UE <--NW : RACH Preamble (PRACH) Assignment ii) UE --> NW : RACH Preamble (RA-RNTI, indication for L2/L3 message size) iii) UE <--NW : Random Access Response (Timing Advance, C-RNTI, UL grant for L2/L3 message) In this case, none of the UE would have any response (HARQ ACK) from NW and they all think that RACH process has failed and go back to step i). The other possibility would be that NW could successfully decode the message from only one UE and failed to decode it from the other UE. In this case, the UE with the successful L2/L3 decoding on NW side will get the HARQ ACK from Network. This HARQ ACK process for step iii) message is called "contention resolution" process.
  • 8. PRACH: Overview  After a cell search, the UE establishes a connection with the cell through a random access procedure and obtains uplink synchronization.  PRACH: Used to transmit preamble sequences. The gNodeB measures the preamble to obtain the transmission delay between the gNodeB and the UE, and informs the UE of the uplink timing information through the timing advance command.  PRACH resources: – Time domain: Time domain position (system frame, subframe, slot, and symbol), duration, and period. – Frequency domain: Start RB and the number of occupied RBs. – Code domain: Preamble sequence (root sequence u and cyclic shift parameter v). Each cell has 64 preamble sequences, which are generated based on the values of u and v.  The UE is informed of the PRACH resources in the RMSI (SIB1).
  • 9. 9 5G NR PRACH function, 5G NR PRACH contents, mapping and physical layer processing of 5G NR PRACH (Physical Random Access Channel).
  • 10. PRACH Preamble: Basic Format  The preamble sequence is classified into the long sequence and short sequence according to the preamble sequence lengths. • The long sequence uses the sequence design in LTE. There are four formats for the long sequence. The maximum cell radius and typical scenarios in different formats are as follows: • The short sequence is a new format in NR. In 3GPP Release 15, the subcarrier spacing can be {15,30} kHz on the sub-6 GHz band, and can be {16,120} kHz on the above 6 GHz band. Format Sequence Length Subcarrier Spacing Time Domain Duration Occupied Bandwidth Maximum Cell Radius Typical Scenarios 0 839 1.25 kHz 1.0 ms 1.08 MHz 14.5 km Low speed and high speed, normal radius 1 839 1.25 kHz 3.0 ms 1.08 MHz 100.1 km Ultra-wide coverage 2 839 1.25 kHz 3.5 ms 1.08 MHz 21.9 km Weak coverage 3 839 5 kHz 1.0 ms 4.32 MHz 14.5 km Ultra-high-speed Format Sequence Length Subcarrier Spacing Time Domain Duration Occupied Bandwidth Maximum Cell Radius Typical Scenarios A1 139 15·2μ (μ=0/1/2/3) 0.14/2μ ms 2.16·2μ MHz 0.937/2μ km small cell A2 139 15·2μ 0.29/2μ ms 2.16·2μ MHz 2.109/2μ km Normal cell A3 139 15·2μ 0.43/2μ ms 2.16·2μ MHz 3.515/2μ km Normal cell B1 139 15·2μ 0.14/2μ ms 2.16·2μ MHz 0.585/2μ km small cell B2 139 15·2μ 0.29/2μ ms 2.16·2μ MHz 1.054/2μ km Normal cell B3 139 15·2μ 0.43/2μ ms 2.16·2μ MHz 1.757/2μ km Normal cell B4 139 15·2μ 0.86/2μ ms 2.16·2μ MHz 3.867/2μ km Normal cell C0 139 15·2μ 0.14/2μ ms 2.16·2μ MHz 5.351/2μ km Normal Cell C2 139 15·2μ 0.43/2μ ms 2.16·2μ MHz 9.297/2μ km Normal Cell
  • 11. PRACH Preamble: Sequence Generation  ZC sequence generation: – u: Indicates the root sequence index. The index of the first root sequence of each cell is configured by the gNodeB for the UE. If the first root sequence is insufficient to generate 64 preambles, the next root sequence is automatically used until 64 preambles are generated. – LRA indicates the length of the root sequence. The long sequence length is 839, and the short sequence length is 139.  Cyclic shift: – The cyclic shift Cv is as follows: – Ncs: restrictedSetConfig indicates the basic length of the cyclic shift. – The length of v is the number of preambles that can be generated by one root sequence. – unrestricted sets is used in low-speed scenarios. restricted sets is used in high-speed scenarios.  Extension to the frequency domain:   ( 1) , 0,1,..., 1 RA ui i j L u RA x i e i L       , ( ) (( ) mod ) u v u v RA x n x n C L     CS ZC CS CS CS CS 0,1,..., 1, 0 for unrestricted sets 0 0 for unrestricted sets , for restricted sets 0,1,..., v vN v N N N C N f v N v                      1 0 2 , , RA RA ) ( ) ( L m L mn j v u v u e m x n y 
  • 12. PRACH Preamble: Time Domain Structure  The preamble consists of two parts: – Cyclic prefix (CP) – Preamble sequence  Differences in the time domain of different preamble formats are as follows: – CP length – Sequence length – GP length – Number of sequence repetitions PUSCH PUSCH, SCS15 kHz PUSCH, SCS30 kHz A1, SCS 15kHz A2, SCS 15kHz A3, SCS 15 kHz B1, SCS 15 kHz B2, SCS 15 kHz B3, SCS 15 kHz B4, SCS 15 kHz C0, SCS 15 kHz C2, SCS 15 kHz CP GP Sequence 0, SCS 1.25 kHz CP GP Sequence 3, SCS 5 kHz
  • 13. PRACH: Time Domain Position  When a UE initiates the random access, the UE sends a preamble on the PRACH.  The time domain position of the PRACH is determined by the frame number, subframe number, slot number, and occasion number, as shown in the following figures. … … … … 0 1 2 3 5 6 7 8 PRACH period Radio frame where the PRACH is located Subframe where the PRACH is located PRACH slot PRACH occasion
  • 14. PRACH: Frequency Domain Position  Frequency position (left) and number of occupied PRBs in frequency domain (right) System bandwidth Initial BWP PRACH Sequence Length PRACH SCS PUSCH SCS PRACH PRBs (From the Perspective of PUSCH) 839 1.25 15 6 839 1.25 30 3 839 1.25 60 2 839 5 15 24 839 5 30 12 839 5 60 6 139 15 15 12 139 15 30 6 139 15 60 3 139 30 15 24 139 30 30 12 139 30 60 6 139 60 60 12 139 60 120 6 139 120 60 24 139 120 120 12
  • 16. 16 Introduction: The 5G NR (New Radio) is the latest in the series of 3GPP standards which supports very high data rate with lower latency compare to its predecessor LTE (4G) technology. 5G NR supports FR1 and FR2 frequency bands. FR1 is sub-6 GHz, from 450 to 6000 MHz where as FR2 is mmwave band (from 24.25 GHz to 52.6 GHz). As the mmwave band uses very high frequency, it leads to propagation loss and other losses. To compensate for the losses, directional communication is essential at such frequencies. Antenna arrays with large number of antenna elements make it possible due to smaller wavelengths. This concept provide beamforming gain to the RF link budget which helps in compensation of propagation loss. Moreover, large antenna array helps to achieve higher data rate due to spatial multiplexing technique. These directional links require accurate alignment of transmitted and received beams. In order to achieve alignment of beam pair and to have required end to end performance with desired delay, beam management operations are introduced in the 5G NR. Beam management operations are essential during Initial Access (i.e. IDLE mode) when UE is not in connection with gNB and during tracking (i.e. CONNECTED mode) when UE is exchanging data with the gNB (i.e. network).
  • 17. 17 One of the main new features in NR is the support for analog beam-forming, which is foreseen to be prevailingatmillimeter-wavefrequencies.Forthispurposeanewframeworkcalledbeammanagement has been developed in order to support analog beam-forming at both the BS and the UE side. Beam managementhasbeendefinedin3GPPasasetofLayer1/2procedurestoacquireandmaintainasetof BSand/orUEbeams26 thatcanbeusedfordownlinkanduplinktransmission/reception[1].Itincludes a number of features, such as: 1. • Sweeping. Covering an angular sector by sweeping analog beams over the sector. 2. • Measurement. Measuring the quality of different beams. 3. • Reporting. Reporting beam information such as which beams are best and their measured qualities. 4. • Determination. Selecting one or a few beams out of a number of candidate beams. 5. • Indication. Indicating which beam or beams has been or have been selected for data transmission. 6. • Switching. Switching to another beam if another beam gets higher quality than the current beam. 7. • Recovery. Finding a new beam if the current beam cannot maintain a communication link due to, e.g., blockage.
  • 18. Analog BF ● BF weights attached in time domain: ○ All allocation in same symbol is beam formed in same direction ○ In one subframe, Control, UL and DL may have their separate BF ○ the bitrates on the fronthaul interfaces are reduced compared to digital BF Digital BF ● BF weights attached in frequency domain (frequency subframe scheduling possible): ○ Different allocation in same symbol can be beam formed in different directions ○ In one subframe, Control, UL and DL may have their separate BF ● Beamforming - scheduling in time/frequency/spatial (beam- or directional) domain, ○ has to be considered when the scheduling prioritizations and link adaptation decisions are taken Beamforming Digital vs Analog Time Time
  • 19. 19 Beam Management The beam management is nothing but a procedure with set of phases like, (a) Beam sweeping (b) Beam measurements (c) Beam determination (d) Beam reporting (e) Beam failure recovery
  • 20. 20 (a) Beam sweeping: Beam Sweeping is a technique to transmit the beams in all predefined directions in a burst in a regular interval. For example, the first step in mobile terminal attach procedure is Initial Access, which is to synchronize with system and receive the minimum system information broadcast. So a “SS Block” carries the PSS, the SSS and the PBCH, and it will be repeated in predefined directions (beams) in time domain in 5ms window, this is called a SS burst, and this SS burst will be repeated in 20ms periodicity typically. Below diagram illustrates the concept.
  • 21. 21 It’s understandable that above illustration of 20 beams based cell sector coverage diagram (in the previous section) will not have fixed beams (always on) with reference signals and synchronization signals, it’s just for visualization. So it’s clear now a 32 beams Nokia gNB will transmit 32 SS blocks in different predefined directions (beams) in regular interval, the set of directions covered by the SS blocks may or may not cover the entire set of predefined directions available. The maximum number of predefined directions (beams / SS blocks) in the SS burst set is frequency dependent, like up to 3 GHz its “4”, from 3 GHz to 6 GHz its “8”, and from 6 GHz to 52.6 GHz its “64”
  • 22. 22 (b) Beam measurements / (c) Beam determination: In IDLE mode the measurement is based on SS (Synchronization Signal), and in the connected mode it’s based on CSI-RS in DL and SRS in UL. The CSI-RS measurement window configuration like periodicity and time/frequency offsets are relative to the associated SS burst. The best beam needs to be searched periodically, by using the SS and CSI-RS measurement results. Like SS blocks, CSI-RS will also be covered using beam sweeping technique, considering the overhead in covering all the predefined directions, CSI-RS will be transmitted only in the subsets of those predefined directions (beams), based on the locations of the active mobile terminals. The SRS in UL is similar to LTE spec, the mobile terminal will transmit the SRS based on gNB directions and gNB will measure SRS to determine the best UL beam. The DL beam is determined by the mobile terminal, the criterion is the beam should be received with maximum signal strength above a predefined threshold.
  • 23. 23 (d) Beam reporting: In IDLE mode, after the mobile terminal selected a SS block (beam), for that SS block there is a predefined one or more RACH opportunities with certain time and frequency offset and direction (special to this SS block only), so that the mobile terminal knows in which transmit (UL) beam to transmit the RACH preamble. This is a way for mobile terminal to notify the gNB which one is the best beam. The gNB (transmit/ receive point, TRP) will be indicated to the mobile terminal in the system information, there is a one to one mapping between beam sweeping (SS block) blocks. The UE will send PRACH preamble in the UL SS Block corresponding to the DL SS Block in which the best Signal strength is detected. Below diagram illustrates the Rx beam to Tx beam mapping during initial access in 5G NR. In connected mode, the mobile terminal will provide feedback using control channel, in case of link failure and no directions can be recovered using CSI-RS, the mobile terminal will try to recover the link using the SS bursts.
  • 24. 24 Beam failure recovery: When the mobile terminal is suffering from poor channel condition, it will get it as a beam failure indication from lower layers. The mobile terminal will request for a recovery by indicating a new SS block or CSI-RS, this will be done by starting a RACH procedure. The gNB will transmit a DL assignment or UL grant on the PDCCH to end the beam failure recovery.
  • 25. 25 B e a m M a n a g e m e n t P r o c e d u r e Although not explicitly stated in the specifications, downlink beam management has been divided into three procedures [1]: • P-1. The purpose of P-1 is to find initial BS Tx beam(s) and possibly also UE Rx beam(s) by performing a beam sweep over a relatively wide angular sector. • P-2. This is used for beam refinement of the BS Tx beam(s) by performing a beam sweep in a more narrow angular sector than in P1. • P-3. This is used for performing an Rx beam sweep at the UE. In P-3, the BS Tx beam is fixed during the UE Rx beam sweep. There are similarities between the procedures and not all procedures are needed. Furthermore, P-2 can be a special case of P-1. An example of how the P-1, P-2, and P-3 procedures can be performed is schematically illustrated in Fig. 7.14. In P-1, the BS performs a beam sweep over an angular sector that covers the entire cell by transmitting a unique reference signal in each beam. To limit the number of beams in such a wide beam sweep the beams could be relatively wide to give an initial, coarse estimate of the best beam direction. The reference signal could be, e.g., the SSBs during initial access
  • 26. 26 or a periodic CSI-RS transmission that has been configured for beam management. The UE measures the power of the received reference signals from all BS Tx beams using a wide Rx beam and reports to the BS which beam has the highest received power. In P-2, the BS performs beam refinement by an aperiodic CSI-RS transmission using narrower beams in an angular sector around the best beam reported by the UE in P-1. The UE measures the power of the received CSI-RSs from these BS TX beams, still using a wide Rx beam, and it reports to the BS which of the narrow beams has the highest received power. In P-3, the BS transmits CSI-RS repeatedly in the best narrow beam reported by the UE in P-2 so that the UE can perform an Rx beam sweep to find its best Rx beam by measuring the power of the received CSI-RS in each Rx beam. In the data transmission, the BS uses the best BS Tx beam found during P-2 and the UE uses the best UE Rx beam found during P-3. Notethatthisisjustoneexampleofhowtoperformbeammanagementandotherwaysarepossible. For example, P-1 could be a joint BS Tx/UE Rx beam sweep in which the UE sweeps its Rx beams for each BS Tx beam. The BS then has to repeat the reference signal transmissions in each BS Tx beam so that the UE can evaluate different Rx beams for every BS Tx beam. Therefore, this approach is more costly in terms of reference signaling overhead and beam acquisition time. To provide robustness against blocking, a UE can be configured to monitor PDCCH on multiple beam pair links. For example, while data transmission is being performed on an active beam pair link, the UE can monitor PDCCH on another beam pair as a backup link for swift fallback if there should be a sudden blockage of the active link.
  • 27. 27 Beam management procedures. Schematic illustration of the beam management procedures P-1, P-2, and P-3.