SlideShare a Scribd company logo
1 of 28
CONTENTS
• Project Flow
• Introduction
• UART Design
• Baud Rate Generator
• Transmitter
• Receiver
• Block diagram of UART
• Simulation Result
• RTL Schematic
• Technology Schematic
• Reports
• Conclusion
• Future Work
• References
Project Flow
 Literature Survey.
 Design of Baud rate generator.
 Design of receiver and transmitter.
 Debugging of UART.
 Simulation.
 Synthesis.
 Verification.
 Implementation.
Introduction
 UART acronym for Universal Asynchronous
Receiver and Transmitter.
 Asynchronous Serial communication
protocol.
 Full Duplex communication.
 Used between the slow and the fast
peripheral devices.
Contd..
 Converts the bytes it gets from the computer
along parallel circuits to a single serial bit
stream for outbound transmission.
 For inbound transmission, converts the serial
bit stream to the bytes that the system
handles.
 Adds a parity bit after selection in outbound
transmissions, checks the parity of incoming
bytes (if selected) and rejects the parity bit.
UART Design
 A UART frame consists of 1 start bit, a number
of data bits, an optional parity bit and 1, 1.5, or 2
stop bits.
 Signal is 1 (high voltage) when the system is
idle.
 Start bit is 0 and stop bits are 1.
 LSB is first transmitted or received.
Contd..
 UART is composed of a Baud Rate Generator
(BRG), a receiver module, and a transmitter
module
 Designed by using Modular design approach.
Baud Rate Generator
 Baud rate: The number of bits transmitted per
second. frequently used baud rate: 9600,
19,200.
 n=
𝑓𝑐𝑙𝑘
𝐵𝑚𝑎𝑥×𝐶×2
Where
fclk: system clock Frequency
C: the number of samples per bit cell
Brmax: the maximum baud rate frequency
Contd..
 Multiplexer based designing of baud rate
generator is used.
RTL Code of Baud Rate
generator
module clk_div(input clk,rst,
output q)
reg [2:0]q1;
always@(posedge clk)
begin if(!rst)
q1<=3'b001;
else
q1<={q1[0],q1[2:1]};
end
assign q=q1[0];
endmodule
module counter_8(input clk,rst,
output reg [7:0]q);
always@(posedge clk)
begin
if(!rst)
q<=8'd0;
else
q<=q+1;
end
endmodule
Clock divide by n Divide by 256
Contd..
module mux_8(input [7:0]d,
input [2:0]sel,
output reg y);
always@(d,sel)
begin case(sel)
3'b000:y=d[0];
3'b001:y=d[1];
3'b010:y=d[2];
3'b011:y=d[3];
3'b100:y=d[4];
3'b101:y=d[5];
3'b110:y=d[6];
3'b111:y=d[7];
endcase
end
endmodule
module divide_by_8(input clk,rst,
output q);
reg [2:0]count;
always@(posedge clk,negedge
rst)
begin
if(!rst)
count<=3'd0;
else
count<=count+1;
end
assign q=count[2];
endmodule
Multiplexer Divide by 8
Block Diagram of Transmitter
 Logic diagram of the transmitter of a UART.
TDR
Transmitter Control
TE
Parity generator
1 P 0
1
TxD
TxC
Data Bus
SM of Transmitter
bct=10
Block Diagram of Receiver
 Logic diagram of the receiver of UART
FE OE PE RF
RDR
1 P RSR 0 Receiver Control
DATA BUS
RxD RxC
RcvS
R
SM of Receiver
Block Diagram
SIMULATION RESULTS
 Simulation result of baud
rate generator
Contd..
 Simulation result of UART
RTL SCHEMATIC
Technology Schematic
POST SYNTHESIS UTILIZATION
REPORT
POST IMPLEMENTATION
UTILIZATION REPORT
Power report
 There are 2 power report.
• Post synthesis
• Post route
 Two modes of power estimation
• Vector based
• Vector less
POST SYNTHESIS POWER
REPORT
POST IMPLEMENTATION
POWER REPORT
Conclusion
 UART Module is designed by using Verilog
HDL.
 Design is simulated and verified with the help
of output waveform in Xilinx Vivado HLS.
 The design code if fully synthesizable and has
no latch.
Future Work
 Verification of UART.
 Implementation on FPGA.
REFERENCES
 U. Nanda and S. K. Pattnaik, “Universal asynchronous
receiver and transmitter (uart),” in Advanced Computing
and Communication Systems (ICACCS), 2016 3rd
International Conference on, vol. 1. IEEE, 2016,pp. 1–5.
 Y.-y. Fang and X.-j. Chen, “Design and simulation of uart
serial communication module based on vhdl,” in Intelligent
Systems and Applications (ISA), 2011 3rd International
Workshop on. IEEE, 2011, pp. 1–4.
 G. B. Wakhle, I. Aggarwal, and S. Gaba, “Synthesis and
implementation of uart using vhdl codes,” in Computer,
Consumer and Control (IS3C), 2012 International
Symposium on. IEEE, 2012, pp. 1–3.
 Y. Wang, and K. Song, “A new approach to realize UART,”
Int’l Conf. on Elect. and Mech. Eng. and IT (EMEIT 2011),
Harbin, Heilongjiang, China, Aug. 2011.
407841208-Modular-UART.pptx design and architecture

More Related Content

Similar to 407841208-Modular-UART.pptx design and architecture

VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015
VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015
VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015vtunotesbysree
 
Digital System Design-Synchronous Sequential Circuits
Digital System Design-Synchronous Sequential CircuitsDigital System Design-Synchronous Sequential Circuits
Digital System Design-Synchronous Sequential CircuitsIndira Priyadarshini
 
The System of Automatic Searching for Vulnerabilities or how to use Taint Ana...
The System of Automatic Searching for Vulnerabilities or how to use Taint Ana...The System of Automatic Searching for Vulnerabilities or how to use Taint Ana...
The System of Automatic Searching for Vulnerabilities or how to use Taint Ana...Positive Hack Days
 
Computer Organization And Architecture lab manual
Computer Organization And Architecture lab manualComputer Organization And Architecture lab manual
Computer Organization And Architecture lab manualNitesh Dubey
 
Digital logic-formula-notes-final-1
Digital logic-formula-notes-final-1Digital logic-formula-notes-final-1
Digital logic-formula-notes-final-1Kshitij Singh
 
SKEL 4273 CAD with HDL Topic 2
SKEL 4273 CAD with HDL Topic 2SKEL 4273 CAD with HDL Topic 2
SKEL 4273 CAD with HDL Topic 2alhadi81
 
Lecture 10 (serial communication)
Lecture 10 (serial communication)Lecture 10 (serial communication)
Lecture 10 (serial communication)cairo university
 
gate level modeling
gate level modelinggate level modeling
gate level modelingVandanaBR2
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manualSanthosh Poralu
 
Data Acquisition
Data AcquisitionData Acquisition
Data Acquisitionazhar557
 
Verilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdfVerilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdfsagar414433
 
Verilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdfVerilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdfsagar414433
 

Similar to 407841208-Modular-UART.pptx design and architecture (20)

VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015
VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015
VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015
 
Digital System Design-Synchronous Sequential Circuits
Digital System Design-Synchronous Sequential CircuitsDigital System Design-Synchronous Sequential Circuits
Digital System Design-Synchronous Sequential Circuits
 
The System of Automatic Searching for Vulnerabilities or how to use Taint Ana...
The System of Automatic Searching for Vulnerabilities or how to use Taint Ana...The System of Automatic Searching for Vulnerabilities or how to use Taint Ana...
The System of Automatic Searching for Vulnerabilities or how to use Taint Ana...
 
Computer Organization And Architecture lab manual
Computer Organization And Architecture lab manualComputer Organization And Architecture lab manual
Computer Organization And Architecture lab manual
 
lesson01.ppt
lesson01.pptlesson01.ppt
lesson01.ppt
 
Digital logic-formula-notes-final-1
Digital logic-formula-notes-final-1Digital logic-formula-notes-final-1
Digital logic-formula-notes-final-1
 
Spark
SparkSpark
Spark
 
Csa chapter 2
Csa  chapter 2Csa  chapter 2
Csa chapter 2
 
SKEL 4273 CAD with HDL Topic 2
SKEL 4273 CAD with HDL Topic 2SKEL 4273 CAD with HDL Topic 2
SKEL 4273 CAD with HDL Topic 2
 
Lecture 10 (serial communication)
Lecture 10 (serial communication)Lecture 10 (serial communication)
Lecture 10 (serial communication)
 
5378086.ppt
5378086.ppt5378086.ppt
5378086.ppt
 
gate level modeling
gate level modelinggate level modeling
gate level modeling
 
EMBEDDED SYSTEM BASICS
EMBEDDED SYSTEM BASICSEMBEDDED SYSTEM BASICS
EMBEDDED SYSTEM BASICS
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manual
 
Jp
Jp Jp
Jp
 
chapter 4
chapter 4chapter 4
chapter 4
 
Data Acquisition
Data AcquisitionData Acquisition
Data Acquisition
 
Verilog Cheat sheet-2 (1).pdf
Verilog Cheat sheet-2 (1).pdfVerilog Cheat sheet-2 (1).pdf
Verilog Cheat sheet-2 (1).pdf
 
Verilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdfVerilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdf
 
Verilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdfVerilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdf
 

Recently uploaded

What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxKartikeyaDwivedi3
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .Satyam Kumar
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvLewisJB
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)Dr SOUNDIRARAJ N
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfAsst.prof M.Gokilavani
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptSAURABHKUMAR892774
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)dollysharma2066
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 

Recently uploaded (20)

What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptx
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvv
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
 
Design and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdfDesign and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdf
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.ppt
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 

407841208-Modular-UART.pptx design and architecture

  • 1. CONTENTS • Project Flow • Introduction • UART Design • Baud Rate Generator • Transmitter • Receiver • Block diagram of UART • Simulation Result • RTL Schematic • Technology Schematic • Reports • Conclusion • Future Work • References
  • 2. Project Flow  Literature Survey.  Design of Baud rate generator.  Design of receiver and transmitter.  Debugging of UART.  Simulation.  Synthesis.  Verification.  Implementation.
  • 3. Introduction  UART acronym for Universal Asynchronous Receiver and Transmitter.  Asynchronous Serial communication protocol.  Full Duplex communication.  Used between the slow and the fast peripheral devices.
  • 4. Contd..  Converts the bytes it gets from the computer along parallel circuits to a single serial bit stream for outbound transmission.  For inbound transmission, converts the serial bit stream to the bytes that the system handles.  Adds a parity bit after selection in outbound transmissions, checks the parity of incoming bytes (if selected) and rejects the parity bit.
  • 5. UART Design  A UART frame consists of 1 start bit, a number of data bits, an optional parity bit and 1, 1.5, or 2 stop bits.  Signal is 1 (high voltage) when the system is idle.  Start bit is 0 and stop bits are 1.  LSB is first transmitted or received.
  • 6. Contd..  UART is composed of a Baud Rate Generator (BRG), a receiver module, and a transmitter module  Designed by using Modular design approach.
  • 7. Baud Rate Generator  Baud rate: The number of bits transmitted per second. frequently used baud rate: 9600, 19,200.  n= 𝑓𝑐𝑙𝑘 𝐵𝑚𝑎𝑥×𝐶×2 Where fclk: system clock Frequency C: the number of samples per bit cell Brmax: the maximum baud rate frequency
  • 8. Contd..  Multiplexer based designing of baud rate generator is used.
  • 9. RTL Code of Baud Rate generator module clk_div(input clk,rst, output q) reg [2:0]q1; always@(posedge clk) begin if(!rst) q1<=3'b001; else q1<={q1[0],q1[2:1]}; end assign q=q1[0]; endmodule module counter_8(input clk,rst, output reg [7:0]q); always@(posedge clk) begin if(!rst) q<=8'd0; else q<=q+1; end endmodule Clock divide by n Divide by 256
  • 10. Contd.. module mux_8(input [7:0]d, input [2:0]sel, output reg y); always@(d,sel) begin case(sel) 3'b000:y=d[0]; 3'b001:y=d[1]; 3'b010:y=d[2]; 3'b011:y=d[3]; 3'b100:y=d[4]; 3'b101:y=d[5]; 3'b110:y=d[6]; 3'b111:y=d[7]; endcase end endmodule module divide_by_8(input clk,rst, output q); reg [2:0]count; always@(posedge clk,negedge rst) begin if(!rst) count<=3'd0; else count<=count+1; end assign q=count[2]; endmodule Multiplexer Divide by 8
  • 11. Block Diagram of Transmitter  Logic diagram of the transmitter of a UART. TDR Transmitter Control TE Parity generator 1 P 0 1 TxD TxC Data Bus
  • 13. Block Diagram of Receiver  Logic diagram of the receiver of UART FE OE PE RF RDR 1 P RSR 0 Receiver Control DATA BUS RxD RxC RcvS R
  • 16. SIMULATION RESULTS  Simulation result of baud rate generator
  • 22. Power report  There are 2 power report. • Post synthesis • Post route  Two modes of power estimation • Vector based • Vector less
  • 25. Conclusion  UART Module is designed by using Verilog HDL.  Design is simulated and verified with the help of output waveform in Xilinx Vivado HLS.  The design code if fully synthesizable and has no latch.
  • 26. Future Work  Verification of UART.  Implementation on FPGA.
  • 27. REFERENCES  U. Nanda and S. K. Pattnaik, “Universal asynchronous receiver and transmitter (uart),” in Advanced Computing and Communication Systems (ICACCS), 2016 3rd International Conference on, vol. 1. IEEE, 2016,pp. 1–5.  Y.-y. Fang and X.-j. Chen, “Design and simulation of uart serial communication module based on vhdl,” in Intelligent Systems and Applications (ISA), 2011 3rd International Workshop on. IEEE, 2011, pp. 1–4.  G. B. Wakhle, I. Aggarwal, and S. Gaba, “Synthesis and implementation of uart using vhdl codes,” in Computer, Consumer and Control (IS3C), 2012 International Symposium on. IEEE, 2012, pp. 1–3.  Y. Wang, and K. Song, “A new approach to realize UART,” Int’l Conf. on Elect. and Mech. Eng. and IT (EMEIT 2011), Harbin, Heilongjiang, China, Aug. 2011.