SlideShare a Scribd company logo
1 of 59
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 1
Lecture 25
Built-In Self-Testing
Pattern Generation
and Response
CompactionMotivation and economics
Definitions
Built-in self-testing (BIST)
process
BIST pattern generation (PG)
BIST response compaction
(RC)
Aliasing probability
Example
Summary
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 2
BIST Motivation
Useful for field test and diagnosis
(less expensive than a local
automatic test equipment)
Software tests for field test and
diagnosis:
 Low hardware fault coverage
 Low diagnostic resolution
 Slow to operate
Hardware BIST benefits:
 Lower system test effort
 Improved system maintenance and
repair
 Improved component repair
 Better diagnosis
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 3
Costly Test Problems
Alleviated by BIST
Increasing chip logic-to-pin ratio – harder
observability
Increasingly dense devices and faster
clocks
Increasing test generation and application
times
Increasing size of test vectors stored in
ATE
Expensive ATE needed for 1 GHz clocking
chips
Hard testability insertion – designers
unfamiliar with gate-level logic, since they
design at behavioral level
In-circuit testing no longer technically
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 4
Typical Quality
Requirements
98% single stuck-at fault
coverage
100% interconnect fault
coverage
Reject ratio – 1 in 100,000
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 5
Design
and test
+ / -
+ / -
+ / -
Fabri-
cation
+
+
+
Manuf.
Test
-
-
-
Level
Chips
Boards
System
Maintenance
test
-
Diagnosis
and repair
-
-
Service
interruption
-
+ Cost increase
- Cost saving
+/- Cost increase may balance cost reduction
Benefits and Costs of
BIST with DFT
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 6
Economics – BIST Costs
 Chip area overhead for:
Test controller
Hardware pattern generator
Hardware response compacter
Testing of BIST hardware
 Pin overhead -- At least 1 pin needed to
activate BIST operation
 Performance overhead – extra path
delays due to BIST
 Yield loss – due to increased chip area
or more chips In system because of BIST
 Reliability reduction – due to increased
area
 Increased BIST hardware complexity –
happens when BIST hardware is made
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 7
BIST Benefits
Faults tested:
 Single combinational / sequential stuck-
at faults
 Delay faults
 Single stuck-at faults in BIST hardware
BIST benefits
 Reduced testing and maintenance cost
 Lower test generation cost
 Reduced storage / maintenance of test
patterns
 Simpler and less expensive ATE
 Can test many units in parallel
 Shorter test application times
 Can test at functional system speed
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 8
Definitions
BILBO – Built-in logic block observer,
extra hardware added to flip-flops so they
can be reconfigured as an LFSR pattern
generator or response compacter, a scan
chain, or as flip-flops
Concurrent testing – Testing process that
detects faults during normal system
operation
CUT – Circuit-under-test
Exhaustive testing – Apply all possible 2n
patterns to a circuit with n inputs
Irreducible polynomial – Boolean
polynomial that cannot be factored
LFSR – Linear feedback shift register,
hardware that generates pseudo-random
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 9
More Definitions
Primitive polynomial – Boolean
polynomial p (x) that can be used to
compute increasing powers n of xn
modulo p (x) to obtain all possible non-
zero polynomials of degree less than p (x)
Pseudo-exhaustive testing – Break circuit
into small, overlapping blocks and test
each exhaustively
Pseudo-random testing – Algorithmic
pattern generator that produces a subset
of all possible tests with most of the
properties of randomly-generated
patterns
Signature – Any statistical circuit
property distinguishing between bad and
good circuits
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 10
BIST Process
Test controller – Hardware that activates
self-test simultaneously on all PCBs
Each board controller activates parallel
chip BIST Diagnosis effective only if very
high fault coverage
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 11
BIST Architecture
Note: BIST cannot test wires and
transistors:
 From PI pins to Input MUX
 From POs to output pins
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 12
BILBO – Works as
Both a PG and a RC
Built-in Logic Block Observer (BILBO) -- 4
modes:
1. Flip-flop
2. LFSR pattern generator
3. LFSR response compacter
4. Scan chain for flip-flops
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 13
Complex BIST
Architecture
Testing epoch I:
 LFSR1 generates tests for CUT1 and
CUT2
 BILBO2 (LFSR3) compacts CUT1
(CUT2)
Testing epoch II:
 BILBO2 generates test patterns for
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 14
Bus-Based BIST
Architecture
Self-test control broadcasts patterns to
each CUT over bus – parallel pattern
generation
Awaits bus transactions showing CUT’s
responses to the patterns: serialized
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 15
Pattern Generation
Store in ROM – too expensive
Exhaustive
Pseudo-exhaustive
Pseudo-random (LFSR) – Preferred
method
Binary counters – use more hardware
than LFSR
Modified counters
Test pattern augmentation
 LFSR combined with a few patterns
in ROM
 Hardware diffracter – generates
pattern cluster in neighborhood of
pattern stored in ROM
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 16
Exhaustive Pattern
Generation
Shows that every state and transition
works
For n-input circuits, requires all 2n
vectors
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 17
Pseudo-Exhaustive
Method
Partition large circuit into fanin cones
 Backtrace from each PO to PIs
influencing it
 Test fanin cones in parallel
Reduced # of tests from 28
= 256 to 25
x 2
= 64
 Incomplete fault coverage
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 18
Pseudo-Exhaustive
Pattern Generation
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 19
Random Pattern
Testing
Bottom:
Random-
Pattern
Resistant
circuit
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 20
Pseudo-Random
Pattern Generation
Standard Linear Feedback Shift Register
(LFSR)
 Produces patterns algorithmically –
repeatable
 Has most of desirable random #
properties
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 21
Matrix Equation for
Standard LFSR
X0 (t + 1)
X1 (t + 1)
.
.
.
Xn-3 (t + 1)
Xn-2 (t + 1)
Xn-1 (t + 1)
1
0
.
.
.
0
0
h1
0
1
.
.
.
0
0
h2
0
0
.
.
.
0
0
1
…
…
…
…
…
0
0
.
.
.
1
0
hn-2
0
0
.
.
.
0
1
hn-1
X0 (t)
X1 (t)
.
.
.
Xn-3 (t)
Xn-2 (t)
Xn-1 (t)
=
X (t + 1) = Ts X (t) (Ts is companion matrix)
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 22
LFSR Implements a
Galois Field
 Galois field (mathematical system):
 Multiplication by x same as right shift
of LFSR
 Addition operator is XOR ( )
 Ts companion matrix:
 1st
column 0, except nth element which
is always 1 (X0 always feeds Xn-1)
 Rest of row n – feedback coefficients hi
 Rest is identity matrix I – means a right
shift
Near-exhaustive (maximal length) LFSR
 n
⊕
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 23
Standard n-Stage
LFSR Implementation
Autocorrelation – any shifted sequence
same as original in 2n-1
– 1 bits, differs in
2n-1
bits
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 24
LFSR Theory
Cannot initialize to all 0’s – hangs
If X is initial state, progresses
through states X, Ts X, Ts
2
X, Ts
3
X, …
Matrix period:
Smallest k such that Ts
k
= I
 k LFSR cycle length
Described by characteristic
polynomial:
f (x) = |Ts – I X |
≡
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 25
LFSR Fault Coverage
Projection
Fault detection probability by a random
number
p (x) dx = fraction of detectable faults
with detection probability between x
and x + dx
 p (x) dx 0 when 0 x 1
 p (x) dx = 1
Exist p (x) dx faults with detection
probability x
Mean coverage of those faults is x p (x) dx
Mean fault coverage yn of 1st
n vectors:
n
total faults
≤≤
∫0
1
∫0
1
≥
≡
I (n) = (1 – x)n
p (x) dxwhere
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 26
LFSR Fault Coverage &
Vector Length
EstimationRandom-fault-detection (RFD) variable:
 Vector # at which fault first detected
 wi # faults with RFD variable i
So p (x) = Σ wi pi (x)
ns size of sample simulated; N # test
vectors
w0 ns - Σ wi
Method:
 Estimate random first detect variables
wi from fault simulator using fault
sampling

i = 1
N
≈
≡
≡
i = 1
N
1
ns
≡
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 27
Example External
XOR LFSR
Characteristic polynomial f (x) = 1 +
x + x3
(read taps from right to left)
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 28
External XOR LFSR
Pattern sequence for example LFSR
(earlier):
Always have 1 and xn
terms in polynomial
Never repeat an LFSR pattern more than 1
time –Repeats same error vector, cancels
fault effect
X0 (t + 1)
X1 (t + 1)
X2 (t + 1)
0
0
1
1
0
1
0
1
0
X0 (t)
X1 (t)
X2 (t)
=
X0
X1
X2
1
0
0
0
0
1
0
1
0
1
0
1
0
1
1
1
1
1
1
1
0
1
0
0
0
0
1
…
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 29
Generic Modular
LFSR
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 30
Modular Internal XOR
LFSR
Described by companion matrix Tm = Ts
T
Internal XOR LFSR – XOR gates in
between D flip-flops
Equivalent to standard External XOR
LFSR
 With a different state assignment
 Faster – usually does not matter
 Same amount of hardware
X (t + 1) = Tm x X (t)
f (x) = | Tm – I X |
= 1 + h1 x + h2 x2
+ … + hn-1 xn-1
+ xn
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 31
Modular LFSR Matrix
X0 (t + 1)
X1 (t + 1)
X2 (t + 1)
.
.
.
Xn-3 (t + 1)
Xn-2 (t + 1)
Xn-1 (t + 1)
0
0
1
.
.
.
0
0
0
0
0
0
.
.
.
0
1
0
0
1
0
.
.
.
0
0
0
…
…
…
…
…
…
0
0
0
.
.
.
0
0
1
1
h1
h2
.
.
.
hn-3
hn-2
hn-1
X0 (t)
X1 (t)
X2 (t)
.
.
.
Xn-3 (t)
Xn-2 (t)
Xn-1 (t)
=
0
0
0
.
.
.
0
0
0
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 32
Example Modular
LFSR
f (x) = 1 + x2
+ x7
+ x8
Read LFSR tap coefficients from left
to right
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 33
Primitive
Polynomials
Want LFSR to generate all possible 2n
– 1
patterns (except the all-0 pattern)
Conditions for this – must have a
primitive polynomial:
 Monic – coefficient of xn
term must be 1
Modular LFSR – all D FF’s must right
shift through XOR’s from X0 through
X1, …, through Xn-1, which must feed
back directly to X0
Standard LFSR – all D FF’s must right
shift directly from Xn-1 through Xn-2, …,
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 34
 Characteristic polynomial must
divide the polynomial 1 – xk
for k =
2n
– 1, but not for any smaller k
value
 See Appendix B of book for tables
of primitive polynomials
If p (error) = 0.5, no difference
between behavior of primitive & non-
primitive polynomial
But p (error) is rarely = 0.5 In that
case, non-primitive polynomial LFSR
takes much longer to stabilize with
Primitive
Polynomials
(continued)
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 35
Weighted Pseudo-
Random Pattern
Generation
If p (1) at all PIs is 0.5, pF (1) = 0.58
=
Will need enormous # of random
patterns to test a stuck-at 0 fault on F --
LFSR p (1) = 0.5
 We must not use an ordinary LFSR to
test this
IBM – holds patents on weighted pseudo-
random pattern generator in ATE
1
256
255
256
1
256pF (0) = 1 – =
f
F
s-a-0
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 36
Weighted Pseudo-
Random Pattern
Generator
LFSR p (1) = 0.5
Solution: Add programmable weight
selection and complement LFSR bits to
get p (1)’s other than 0.5
Need 2-3 weight sets for a typical
circuit
Weighted pattern generator drastically
shortens pattern length for pseudo-
random patterns
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 37
Weighted Pattern
Gen.
w1
0
0
0
0
w2
0
0
1
1
Inv.
0
1
0
1
p (output)
½
½
¼
3/4
w1
1
1
1
1
w2
0
0
1
1
p (output)
1/8
7/8
1/16
15/16
Inv
.
0
1
0
1
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 38
Cellular Automata
(CA)
Superior to LFSR – even “more” random
 No shift-induced bit value correlation
 Can make LFSR more random with linear
phase shifter
Regular connections – each cell only
connects to local neighbors
xc-1 (t) xc (t) xc+1 (t)
Gives CA cell connections
111 110 101 100 011 010
001 000
xc (t + 1) 0 1 0 1 1 0
1 0
6 4 3 1
⊕
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 39
Cellular Automaton
Five-stage hybrid cellular automaton
Rule 150: xc (t + 1) = xc-1 (t) xc (t) xc+1
(t)
Alternate Rule 90 and Rule 150 CA
⊕ ⊕
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 40
Test Pattern
Augmentation
Secondary ROM – to get LFSR to 100%
SAF coverage
 Add a small ROM with missing test
patterns
 Add extra circuit mode to Input MUX
– shift to ROM patterns after LFSR
done
 Important to compact extra test
patterns
Use diffracter:
 Generates cluster of patterns in
neighborhood of stored ROM pattern
Transform LFSR patterns into new
vector set
Put LFSR and transformation hardware
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 41
Response
Compaction
Severe amounts of data in CUT response
to LFSR patterns – example:
 Generate 5 million random patterns
 CUT has 200 outputs
 Leads to: 5 million x 200 = 1 billion
bits response
Uneconomical to store and check all of
these responses on chip
Responses must be compacted
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 42
Definitions
Aliasing – Due to information loss,
signatures of good and some bad
machines match
Compaction – Drastically reduce # bits
in original circuit response – lose
information
Compression – Reduce # bits in original
circuit response – no information loss –
fully invertible (can get back original
response)
Signature analysis – Compact good
machine response into good machine
signature. Actual signature generated
during testing, and compared with good
machine signature
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 43
Transition Counting
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 44
Transition Counting
Details
Transition count:
C (R) = Σ (ri ri-1) for all m primary
outputs
To maximize fault coverage:
 Make C (R0) – good machine transition
count – as large or as small as
possible
i = 1
m
⊕
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 45
LFSR for Response
Compaction
Use cyclic redundancy check code (CRCC)
generator (LFSR) for response compacter
Treat data bits from circuit POs to be
compacted as a decreasing order
coefficient polynomial
CRCC divides the PO polynomial by its
characteristic polynomial
 Leaves remainder of division in LFSR
 Must initialize LFSR to seed value
(usually 0) before testing
After testing – compare signature in LFSR
to known good machine signature
Critical: Must compute good machine
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 46
Example Modular
LFSR Response
Compacter
LFSR seed value is
“00000”
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 47
Polynomial Division
Logic simulation: Remainder = 1 + x2
+ x3
0 1 0 1 0 0 0 1
0 x0
+ 1 x1
+ 0 x2
+ 1 x3
+ 0 x4
+ 0 x5
+ 0
x6
+ 1 x7
Inputs
Initial State
1
0
0
0
1
0
1
0
X0
0
1
0
0
0
1
1
1
1
X1
0
0
1
0
0
0
0
1
0
X2
0
0
0
1
0
0
0
0
1
X3
0
0
0
0
1
0
1
0
1
X4
0
0
0
0
0
1
0
1
0
.
.......
Logic
Simulation:
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 48
Symbolic Polynomial
Division
x2
x7
x7
+ 1
+ x5
x5
x5
+ x3
+ x3
+ x3
x3
+ x2
+ x2
+ x2
+ x
+ x
+ x + 1
+ 1
x5
+ x3
+ x +
1
remainder
Remainder matches that from logic simulation
of the response compacter!
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 49
Multiple-Input
Signature Register
(MISR)
Problem with ordinary LFSR response
compacter:
 Too much hardware if one of these is
put on each primary output (PO)
Solution: MISR – compacts all outputs
into one LFSR
 Works because LFSR is linear – obeys
superposition principle
 Superimpose all responses in one LFSR
– final remainder is XOR sum of
remainders of polynomial divisions of
each PO by the characteristic
polynomial
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 50
MISR Matrix Equation
di (t) – output response on POi at
time t
X0 (t + 1)
X1 (t + 1)
.
.
.
Xn-3 (t + 1)
Xn-2 (t + 1)
Xn-1 (t + 1)
1
0
.
.
.
0
0
h1
0
0
.
.
.
0
0
1
…
…
…
…
…
0
0
.
.
.
1
0
hn-2
0
0
.
.
.
0
1
hn-1
X0 (t)
X1 (t)
.
.
.
Xn-3 (t)
Xn-2 (t)
Xn-1 (t)
=
d0 (t)
d1 (t)
.
.
.
dn-3 (t)
dn-2 (t)
dn-1 (t)
+
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 51
Modular MISR
Example
X0 (t + 1)
X1 (t + 1)
X2 (t + 1)
0
0
1
0
1
0
1
1
0
=
X0 (t)
X1 (t)
X2 (t)
d0 (t)
d1 (t)
d2 (t)
+
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 52
Multiple Signature
Checking
Use 2 different testing epochs:
 1st
with MISR with 1 polynomial
 2nd
with MISR with different
polynomial
Reduces probability of aliasing –
 Very unlikely that both polynomials
will alias for the same fault
Low hardware cost:
 A few XOR gates for the 2nd
MISR
polynomial
 A 2-1 MUX to select between two
feedback polynomials
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 53
Aliasing Probability
Aliasing – when bad machine
signature equals good machine
signature
Consider error vector e (n) at POs
 Set to a 1 when good and faulty
machines differ at the PO at time t
Pal aliasing probability
p probability of 1 in e (n)
Aliasing limits:
 0 < p ½, pk
Pal (1 – p)k
 ½ p 1, (1 – p)k
Pal pk
≡
≤
≤≤
≤≤≤
≤
≡
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 54
Aliasing Probability
Graph
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 55
Additional MISR
Aliasing
MISR has more aliasing than LFSR on
single PO
 Error in CUT output dj at ti, followed
by error in output dj+h at ti+h,
eliminates any signature error if no
feedback tap in MISR between bits Qj
and Qj+h.
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 56
Aliasing Theorems
Theorem 15.1: Assuming that each circuit
PO dij has probability p of being in error,
and that all outputs dij are independent, in
a k-bit MISR, Pal = 1/(2k
), regardless of
initial condition of MISR. Not exactly
true – true in practice.
Theorem 15.2: Assuming that each PO dij
has probability pj of being in error, where
the p probabilities are independent, and
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 57
Experiment Hardware
3 bit exhaustive binary counter for
pattern
generator
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 58
Transition Counting vs.
LFSRLFSR aliases for f sa1, transition
counter for a sa1
Pattern
abc
000
001
010
011
100
101
110
111
Transition Count
LFSR
Good
0
1
0
0
0
1
1
1
3
001
a sa1
0
1
1
1
0
1
1
1
Signatures
3
101
f sa1
1
1
1
1
1
1
1
1
0
001
b sa1
0
0
0
0
1
1
1
1
1
010
Responses
Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 59
Summary
LFSR pattern generator and MISR
response compacter – preferred BIST
methods
BIST has overheads: test controller,
extra circuit delay, Input MUX, pattern
generator, response compacter, DFT to
initialize circuit & test the test
hardware
BIST benefits:
 At-speed testing for delay & stuck-at
faults
 Drastic ATE cost reduction
 Field test capability
 Faster diagnosis during system test
 Less effort to design testing process
 Shorter test application times

More Related Content

What's hot (20)

IS-95 Cdma
IS-95 CdmaIS-95 Cdma
IS-95 Cdma
 
VLSI testing and analysis
VLSI testing and analysisVLSI testing and analysis
VLSI testing and analysis
 
Digital Communication: Channel Coding
Digital Communication: Channel CodingDigital Communication: Channel Coding
Digital Communication: Channel Coding
 
Wireless Channels Capacity
Wireless Channels CapacityWireless Channels Capacity
Wireless Channels Capacity
 
Logic Simulation, Modeling, and Testing
Logic Simulation, Modeling, and TestingLogic Simulation, Modeling, and Testing
Logic Simulation, Modeling, and Testing
 
Optical receivers
Optical receiversOptical receivers
Optical receivers
 
Adaptive equalization
Adaptive equalizationAdaptive equalization
Adaptive equalization
 
implementation of BIST
implementation of BISTimplementation of BIST
implementation of BIST
 
Quantization
QuantizationQuantization
Quantization
 
Radio receiver characteristics
Radio receiver characteristicsRadio receiver characteristics
Radio receiver characteristics
 
Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)
 
rake reciever ppt
rake reciever pptrake reciever ppt
rake reciever ppt
 
Design for Testability
Design for Testability Design for Testability
Design for Testability
 
It2402 mobile communication unit1
It2402 mobile communication unit1It2402 mobile communication unit1
It2402 mobile communication unit1
 
Optical fiber
Optical fiberOptical fiber
Optical fiber
 
Ec 2401 wireless communication unit 4
Ec 2401 wireless communication   unit 4Ec 2401 wireless communication   unit 4
Ec 2401 wireless communication unit 4
 
SoC Design
SoC DesignSoC Design
SoC Design
 
Concept of Diversity & Fading (wireless communication)
Concept of Diversity & Fading (wireless communication)Concept of Diversity & Fading (wireless communication)
Concept of Diversity & Fading (wireless communication)
 
Different types of Modulation Techniques
Different types of Modulation TechniquesDifferent types of Modulation Techniques
Different types of Modulation Techniques
 
Tv transmitters & receiver
Tv transmitters & receiverTv transmitters & receiver
Tv transmitters & receiver
 

Viewers also liked

Fault Detection Scheme for AES Using Composite Field
Fault Detection Scheme for AES Using Composite FieldFault Detection Scheme for AES Using Composite Field
Fault Detection Scheme for AES Using Composite Field AJAL A J
 
Low power test pattern generation for bist applications
Low power test pattern generation for bist applicationsLow power test pattern generation for bist applications
Low power test pattern generation for bist applicationseSAT Journals
 
Built In Self Testing(BIST) Architecture for Motin Estimation and Computing A...
Built In Self Testing(BIST) Architecture for Motin Estimation and Computing A...Built In Self Testing(BIST) Architecture for Motin Estimation and Computing A...
Built In Self Testing(BIST) Architecture for Motin Estimation and Computing A...Shashidhar Reddy
 
Ieee 2015 project list_vlsi
Ieee 2015 project list_vlsiIeee 2015 project list_vlsi
Ieee 2015 project list_vlsiigeeks1234
 
Pseudo Random Bit Sequence Generator
Pseudo Random Bit Sequence Generator Pseudo Random Bit Sequence Generator
Pseudo Random Bit Sequence Generator ajay singh
 

Viewers also liked (6)

Fault Detection Scheme for AES Using Composite Field
Fault Detection Scheme for AES Using Composite FieldFault Detection Scheme for AES Using Composite Field
Fault Detection Scheme for AES Using Composite Field
 
Low power test pattern generation for bist applications
Low power test pattern generation for bist applicationsLow power test pattern generation for bist applications
Low power test pattern generation for bist applications
 
Built In Self Testing(BIST) Architecture for Motin Estimation and Computing A...
Built In Self Testing(BIST) Architecture for Motin Estimation and Computing A...Built In Self Testing(BIST) Architecture for Motin Estimation and Computing A...
Built In Self Testing(BIST) Architecture for Motin Estimation and Computing A...
 
Ieee 2015 project list_vlsi
Ieee 2015 project list_vlsiIeee 2015 project list_vlsi
Ieee 2015 project list_vlsi
 
Pseudo Random Bit Sequence Generator
Pseudo Random Bit Sequence Generator Pseudo Random Bit Sequence Generator
Pseudo Random Bit Sequence Generator
 
Slideshare ppt
Slideshare pptSlideshare ppt
Slideshare ppt
 

Similar to testing

A REVIEW OF LOW POWERAND AREA EFFICIENT FSM BASED LFSR FOR LOGIC BIST
A REVIEW OF LOW POWERAND AREA EFFICIENT FSM BASED LFSR FOR LOGIC BISTA REVIEW OF LOW POWERAND AREA EFFICIENT FSM BASED LFSR FOR LOGIC BIST
A REVIEW OF LOW POWERAND AREA EFFICIENT FSM BASED LFSR FOR LOGIC BISTjedt_journal
 
A Simple Communication System Design Lab #2 with MATLAB Simulink
A Simple Communication System Design Lab #2 with MATLAB SimulinkA Simple Communication System Design Lab #2 with MATLAB Simulink
A Simple Communication System Design Lab #2 with MATLAB SimulinkJaewook. Kang
 
Real Time Filtering on Embedded ARM
Real Time Filtering on Embedded ARMReal Time Filtering on Embedded ARM
Real Time Filtering on Embedded ARMVincent Claes
 
A Formal Framework for Prototyping Executable Semantics in ATL
A Formal Framework for Prototyping Executable Semantics in ATLA Formal Framework for Prototyping Executable Semantics in ATL
A Formal Framework for Prototyping Executable Semantics in ATLArtur Boronat
 
OPAL-RT Model-In-the-Loop real-time simulation
OPAL-RT Model-In-the-Loop real-time simulation OPAL-RT Model-In-the-Loop real-time simulation
OPAL-RT Model-In-the-Loop real-time simulation OPAL-RT TECHNOLOGIES
 
CLIC Accelerator: status, plans and outlook
CLIC Accelerator: status, plans and outlook CLIC Accelerator: status, plans and outlook
CLIC Accelerator: status, plans and outlook asafrona
 
Vibration Measurements In Wind Power Turbines
Vibration Measurements In Wind Power TurbinesVibration Measurements In Wind Power Turbines
Vibration Measurements In Wind Power Turbinesalexzio
 
testpattern.ppt
testpattern.ppttestpattern.ppt
testpattern.pptthiru17270
 
Logic built in self test techniques based on FPGA
Logic built in self test techniques based on FPGALogic built in self test techniques based on FPGA
Logic built in self test techniques based on FPGAMOHAMMAD HANNAN
 
Detection of Power Line Disturbances using DSP Techniques
Detection of Power Line Disturbances using DSP TechniquesDetection of Power Line Disturbances using DSP Techniques
Detection of Power Line Disturbances using DSP TechniquesKashishVerma18
 
Practical Active Filter Design
Practical Active Filter Design Practical Active Filter Design
Practical Active Filter Design Sachin Mehta
 
Smart mm-Wave Beam Steering Algorithm for Fast Link Re-Establishment under No...
Smart mm-Wave Beam Steering Algorithm for Fast Link Re-Establishment under No...Smart mm-Wave Beam Steering Algorithm for Fast Link Re-Establishment under No...
Smart mm-Wave Beam Steering Algorithm for Fast Link Re-Establishment under No...Avishek Patra
 
IllinoisScan_seminar.ppt
IllinoisScan_seminar.pptIllinoisScan_seminar.ppt
IllinoisScan_seminar.pptcoolbusinessman
 

Similar to testing (20)

Lecture10.ppt
Lecture10.pptLecture10.ppt
Lecture10.ppt
 
A REVIEW OF LOW POWERAND AREA EFFICIENT FSM BASED LFSR FOR LOGIC BIST
A REVIEW OF LOW POWERAND AREA EFFICIENT FSM BASED LFSR FOR LOGIC BISTA REVIEW OF LOW POWERAND AREA EFFICIENT FSM BASED LFSR FOR LOGIC BIST
A REVIEW OF LOW POWERAND AREA EFFICIENT FSM BASED LFSR FOR LOGIC BIST
 
On using BS to improve the
On using BS to improve theOn using BS to improve the
On using BS to improve the
 
A Simple Communication System Design Lab #2 with MATLAB Simulink
A Simple Communication System Design Lab #2 with MATLAB SimulinkA Simple Communication System Design Lab #2 with MATLAB Simulink
A Simple Communication System Design Lab #2 with MATLAB Simulink
 
Real Time Filtering on Embedded ARM
Real Time Filtering on Embedded ARMReal Time Filtering on Embedded ARM
Real Time Filtering on Embedded ARM
 
A Formal Framework for Prototyping Executable Semantics in ATL
A Formal Framework for Prototyping Executable Semantics in ATLA Formal Framework for Prototyping Executable Semantics in ATL
A Formal Framework for Prototyping Executable Semantics in ATL
 
OPAL-RT Model-In-the-Loop real-time simulation
OPAL-RT Model-In-the-Loop real-time simulation OPAL-RT Model-In-the-Loop real-time simulation
OPAL-RT Model-In-the-Loop real-time simulation
 
2009-06-30.ppt
2009-06-30.ppt2009-06-30.ppt
2009-06-30.ppt
 
CLIC Accelerator: status, plans and outlook
CLIC Accelerator: status, plans and outlook CLIC Accelerator: status, plans and outlook
CLIC Accelerator: status, plans and outlook
 
signal and system
signal and system signal and system
signal and system
 
Vibration Measurements In Wind Power Turbines
Vibration Measurements In Wind Power TurbinesVibration Measurements In Wind Power Turbines
Vibration Measurements In Wind Power Turbines
 
testpattern.ppt
testpattern.ppttestpattern.ppt
testpattern.ppt
 
VLSI TESTING.ppt
VLSI TESTING.pptVLSI TESTING.ppt
VLSI TESTING.ppt
 
02~chapter 01 intro.ppt
02~chapter 01 intro.ppt02~chapter 01 intro.ppt
02~chapter 01 intro.ppt
 
Advanced WEC Dynamics and Controls: System Identification and Model Validation
Advanced WEC Dynamics and Controls: System Identification and Model ValidationAdvanced WEC Dynamics and Controls: System Identification and Model Validation
Advanced WEC Dynamics and Controls: System Identification and Model Validation
 
Logic built in self test techniques based on FPGA
Logic built in self test techniques based on FPGALogic built in self test techniques based on FPGA
Logic built in self test techniques based on FPGA
 
Detection of Power Line Disturbances using DSP Techniques
Detection of Power Line Disturbances using DSP TechniquesDetection of Power Line Disturbances using DSP Techniques
Detection of Power Line Disturbances using DSP Techniques
 
Practical Active Filter Design
Practical Active Filter Design Practical Active Filter Design
Practical Active Filter Design
 
Smart mm-Wave Beam Steering Algorithm for Fast Link Re-Establishment under No...
Smart mm-Wave Beam Steering Algorithm for Fast Link Re-Establishment under No...Smart mm-Wave Beam Steering Algorithm for Fast Link Re-Establishment under No...
Smart mm-Wave Beam Steering Algorithm for Fast Link Re-Establishment under No...
 
IllinoisScan_seminar.ppt
IllinoisScan_seminar.pptIllinoisScan_seminar.ppt
IllinoisScan_seminar.ppt
 

Recently uploaded

Fashion trends before and after covid.pptx
Fashion trends before and after covid.pptxFashion trends before and after covid.pptx
Fashion trends before and after covid.pptxVanshNarang19
 
Call Girls in Okhla Delhi 💯Call Us 🔝8264348440🔝
Call Girls in Okhla Delhi 💯Call Us 🔝8264348440🔝Call Girls in Okhla Delhi 💯Call Us 🔝8264348440🔝
Call Girls in Okhla Delhi 💯Call Us 🔝8264348440🔝soniya singh
 
Captivating Charm: Exploring Marseille's Hillside Villas with Our 3D Architec...
Captivating Charm: Exploring Marseille's Hillside Villas with Our 3D Architec...Captivating Charm: Exploring Marseille's Hillside Villas with Our 3D Architec...
Captivating Charm: Exploring Marseille's Hillside Villas with Our 3D Architec...Yantram Animation Studio Corporation
 
The history of music videos a level presentation
The history of music videos a level presentationThe history of music videos a level presentation
The history of music videos a level presentationamedia6
 
Raj Nagar Extension Call Girls 9711199012 WhatsApp No, Delhi Escorts in Raj N...
Raj Nagar Extension Call Girls 9711199012 WhatsApp No, Delhi Escorts in Raj N...Raj Nagar Extension Call Girls 9711199012 WhatsApp No, Delhi Escorts in Raj N...
Raj Nagar Extension Call Girls 9711199012 WhatsApp No, Delhi Escorts in Raj N...ankitnayak356677
 
SD_The MATATAG Curriculum Training Design.pptx
SD_The MATATAG Curriculum Training Design.pptxSD_The MATATAG Curriculum Training Design.pptx
SD_The MATATAG Curriculum Training Design.pptxjanettecruzeiro1
 
Cheap Rate Call girls Kalkaji 9205541914 shot 1500 night
Cheap Rate Call girls Kalkaji 9205541914 shot 1500 nightCheap Rate Call girls Kalkaji 9205541914 shot 1500 night
Cheap Rate Call girls Kalkaji 9205541914 shot 1500 nightDelhi Call girls
 
NO1 Famous Amil Baba In Karachi Kala Jadu In Karachi Amil baba In Karachi Add...
NO1 Famous Amil Baba In Karachi Kala Jadu In Karachi Amil baba In Karachi Add...NO1 Famous Amil Baba In Karachi Kala Jadu In Karachi Amil baba In Karachi Add...
NO1 Famous Amil Baba In Karachi Kala Jadu In Karachi Amil baba In Karachi Add...Amil baba
 
call girls in Harsh Vihar (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Harsh Vihar (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️call girls in Harsh Vihar (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Harsh Vihar (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
VIP Call Girls Service Mehdipatnam Hyderabad Call +91-8250192130
VIP Call Girls Service Mehdipatnam Hyderabad Call +91-8250192130VIP Call Girls Service Mehdipatnam Hyderabad Call +91-8250192130
VIP Call Girls Service Mehdipatnam Hyderabad Call +91-8250192130Suhani Kapoor
 
The_Canvas_of_Creative_Mastery_Newsletter_April_2024_Version.pdf
The_Canvas_of_Creative_Mastery_Newsletter_April_2024_Version.pdfThe_Canvas_of_Creative_Mastery_Newsletter_April_2024_Version.pdf
The_Canvas_of_Creative_Mastery_Newsletter_April_2024_Version.pdfAmirYakdi
 
Kala jadu for love marriage | Real amil baba | Famous amil baba | kala jadu n...
Kala jadu for love marriage | Real amil baba | Famous amil baba | kala jadu n...Kala jadu for love marriage | Real amil baba | Famous amil baba | kala jadu n...
Kala jadu for love marriage | Real amil baba | Famous amil baba | kala jadu n...babafaisel
 
Chapter 19_DDA_TOD Policy_First Draft 2012.pdf
Chapter 19_DDA_TOD Policy_First Draft 2012.pdfChapter 19_DDA_TOD Policy_First Draft 2012.pdf
Chapter 19_DDA_TOD Policy_First Draft 2012.pdfParomita Roy
 
PORTFOLIO DE ARQUITECTURA CRISTOBAL HERAUD 2024
PORTFOLIO DE ARQUITECTURA CRISTOBAL HERAUD 2024PORTFOLIO DE ARQUITECTURA CRISTOBAL HERAUD 2024
PORTFOLIO DE ARQUITECTURA CRISTOBAL HERAUD 2024CristobalHeraud
 
VVIP Pune Call Girls Hadapsar (7001035870) Pune Escorts Nearby with Complete ...
VVIP Pune Call Girls Hadapsar (7001035870) Pune Escorts Nearby with Complete ...VVIP Pune Call Girls Hadapsar (7001035870) Pune Escorts Nearby with Complete ...
VVIP Pune Call Girls Hadapsar (7001035870) Pune Escorts Nearby with Complete ...Call Girls in Nagpur High Profile
 
CALL ON ➥8923113531 🔝Call Girls Aminabad Lucknow best Night Fun service
CALL ON ➥8923113531 🔝Call Girls Aminabad Lucknow best Night Fun serviceCALL ON ➥8923113531 🔝Call Girls Aminabad Lucknow best Night Fun service
CALL ON ➥8923113531 🔝Call Girls Aminabad Lucknow best Night Fun serviceanilsa9823
 
如何办理(UVa毕业证书)弗吉尼亚大学毕业证毕业证(文凭)成绩单原版一比一定制
如何办理(UVa毕业证书)弗吉尼亚大学毕业证毕业证(文凭)成绩单原版一比一定制如何办理(UVa毕业证书)弗吉尼亚大学毕业证毕业证(文凭)成绩单原版一比一定制
如何办理(UVa毕业证书)弗吉尼亚大学毕业证毕业证(文凭)成绩单原版一比一定制didi bibo
 
SCRIP Lua HTTP PROGRACMACION PLC WECON CA
SCRIP Lua HTTP PROGRACMACION PLC  WECON CASCRIP Lua HTTP PROGRACMACION PLC  WECON CA
SCRIP Lua HTTP PROGRACMACION PLC WECON CANestorGamez6
 
NATA 2024 SYLLABUS, full syllabus explained in detail
NATA 2024 SYLLABUS, full syllabus explained in detailNATA 2024 SYLLABUS, full syllabus explained in detail
NATA 2024 SYLLABUS, full syllabus explained in detailDesigntroIntroducing
 
A level Digipak development Presentation
A level Digipak development PresentationA level Digipak development Presentation
A level Digipak development Presentationamedia6
 

Recently uploaded (20)

Fashion trends before and after covid.pptx
Fashion trends before and after covid.pptxFashion trends before and after covid.pptx
Fashion trends before and after covid.pptx
 
Call Girls in Okhla Delhi 💯Call Us 🔝8264348440🔝
Call Girls in Okhla Delhi 💯Call Us 🔝8264348440🔝Call Girls in Okhla Delhi 💯Call Us 🔝8264348440🔝
Call Girls in Okhla Delhi 💯Call Us 🔝8264348440🔝
 
Captivating Charm: Exploring Marseille's Hillside Villas with Our 3D Architec...
Captivating Charm: Exploring Marseille's Hillside Villas with Our 3D Architec...Captivating Charm: Exploring Marseille's Hillside Villas with Our 3D Architec...
Captivating Charm: Exploring Marseille's Hillside Villas with Our 3D Architec...
 
The history of music videos a level presentation
The history of music videos a level presentationThe history of music videos a level presentation
The history of music videos a level presentation
 
Raj Nagar Extension Call Girls 9711199012 WhatsApp No, Delhi Escorts in Raj N...
Raj Nagar Extension Call Girls 9711199012 WhatsApp No, Delhi Escorts in Raj N...Raj Nagar Extension Call Girls 9711199012 WhatsApp No, Delhi Escorts in Raj N...
Raj Nagar Extension Call Girls 9711199012 WhatsApp No, Delhi Escorts in Raj N...
 
SD_The MATATAG Curriculum Training Design.pptx
SD_The MATATAG Curriculum Training Design.pptxSD_The MATATAG Curriculum Training Design.pptx
SD_The MATATAG Curriculum Training Design.pptx
 
Cheap Rate Call girls Kalkaji 9205541914 shot 1500 night
Cheap Rate Call girls Kalkaji 9205541914 shot 1500 nightCheap Rate Call girls Kalkaji 9205541914 shot 1500 night
Cheap Rate Call girls Kalkaji 9205541914 shot 1500 night
 
NO1 Famous Amil Baba In Karachi Kala Jadu In Karachi Amil baba In Karachi Add...
NO1 Famous Amil Baba In Karachi Kala Jadu In Karachi Amil baba In Karachi Add...NO1 Famous Amil Baba In Karachi Kala Jadu In Karachi Amil baba In Karachi Add...
NO1 Famous Amil Baba In Karachi Kala Jadu In Karachi Amil baba In Karachi Add...
 
call girls in Harsh Vihar (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Harsh Vihar (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️call girls in Harsh Vihar (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Harsh Vihar (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
 
VIP Call Girls Service Mehdipatnam Hyderabad Call +91-8250192130
VIP Call Girls Service Mehdipatnam Hyderabad Call +91-8250192130VIP Call Girls Service Mehdipatnam Hyderabad Call +91-8250192130
VIP Call Girls Service Mehdipatnam Hyderabad Call +91-8250192130
 
The_Canvas_of_Creative_Mastery_Newsletter_April_2024_Version.pdf
The_Canvas_of_Creative_Mastery_Newsletter_April_2024_Version.pdfThe_Canvas_of_Creative_Mastery_Newsletter_April_2024_Version.pdf
The_Canvas_of_Creative_Mastery_Newsletter_April_2024_Version.pdf
 
Kala jadu for love marriage | Real amil baba | Famous amil baba | kala jadu n...
Kala jadu for love marriage | Real amil baba | Famous amil baba | kala jadu n...Kala jadu for love marriage | Real amil baba | Famous amil baba | kala jadu n...
Kala jadu for love marriage | Real amil baba | Famous amil baba | kala jadu n...
 
Chapter 19_DDA_TOD Policy_First Draft 2012.pdf
Chapter 19_DDA_TOD Policy_First Draft 2012.pdfChapter 19_DDA_TOD Policy_First Draft 2012.pdf
Chapter 19_DDA_TOD Policy_First Draft 2012.pdf
 
PORTFOLIO DE ARQUITECTURA CRISTOBAL HERAUD 2024
PORTFOLIO DE ARQUITECTURA CRISTOBAL HERAUD 2024PORTFOLIO DE ARQUITECTURA CRISTOBAL HERAUD 2024
PORTFOLIO DE ARQUITECTURA CRISTOBAL HERAUD 2024
 
VVIP Pune Call Girls Hadapsar (7001035870) Pune Escorts Nearby with Complete ...
VVIP Pune Call Girls Hadapsar (7001035870) Pune Escorts Nearby with Complete ...VVIP Pune Call Girls Hadapsar (7001035870) Pune Escorts Nearby with Complete ...
VVIP Pune Call Girls Hadapsar (7001035870) Pune Escorts Nearby with Complete ...
 
CALL ON ➥8923113531 🔝Call Girls Aminabad Lucknow best Night Fun service
CALL ON ➥8923113531 🔝Call Girls Aminabad Lucknow best Night Fun serviceCALL ON ➥8923113531 🔝Call Girls Aminabad Lucknow best Night Fun service
CALL ON ➥8923113531 🔝Call Girls Aminabad Lucknow best Night Fun service
 
如何办理(UVa毕业证书)弗吉尼亚大学毕业证毕业证(文凭)成绩单原版一比一定制
如何办理(UVa毕业证书)弗吉尼亚大学毕业证毕业证(文凭)成绩单原版一比一定制如何办理(UVa毕业证书)弗吉尼亚大学毕业证毕业证(文凭)成绩单原版一比一定制
如何办理(UVa毕业证书)弗吉尼亚大学毕业证毕业证(文凭)成绩单原版一比一定制
 
SCRIP Lua HTTP PROGRACMACION PLC WECON CA
SCRIP Lua HTTP PROGRACMACION PLC  WECON CASCRIP Lua HTTP PROGRACMACION PLC  WECON CA
SCRIP Lua HTTP PROGRACMACION PLC WECON CA
 
NATA 2024 SYLLABUS, full syllabus explained in detail
NATA 2024 SYLLABUS, full syllabus explained in detailNATA 2024 SYLLABUS, full syllabus explained in detail
NATA 2024 SYLLABUS, full syllabus explained in detail
 
A level Digipak development Presentation
A level Digipak development PresentationA level Digipak development Presentation
A level Digipak development Presentation
 

testing

  • 1. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 1 Lecture 25 Built-In Self-Testing Pattern Generation and Response CompactionMotivation and economics Definitions Built-in self-testing (BIST) process BIST pattern generation (PG) BIST response compaction (RC) Aliasing probability Example Summary
  • 2. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 2 BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment) Software tests for field test and diagnosis:  Low hardware fault coverage  Low diagnostic resolution  Slow to operate Hardware BIST benefits:  Lower system test effort  Improved system maintenance and repair  Improved component repair  Better diagnosis
  • 3. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 3 Costly Test Problems Alleviated by BIST Increasing chip logic-to-pin ratio – harder observability Increasingly dense devices and faster clocks Increasing test generation and application times Increasing size of test vectors stored in ATE Expensive ATE needed for 1 GHz clocking chips Hard testability insertion – designers unfamiliar with gate-level logic, since they design at behavioral level In-circuit testing no longer technically
  • 4. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 4 Typical Quality Requirements 98% single stuck-at fault coverage 100% interconnect fault coverage Reject ratio – 1 in 100,000
  • 5. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 5 Design and test + / - + / - + / - Fabri- cation + + + Manuf. Test - - - Level Chips Boards System Maintenance test - Diagnosis and repair - - Service interruption - + Cost increase - Cost saving +/- Cost increase may balance cost reduction Benefits and Costs of BIST with DFT
  • 6. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 6 Economics – BIST Costs  Chip area overhead for: Test controller Hardware pattern generator Hardware response compacter Testing of BIST hardware  Pin overhead -- At least 1 pin needed to activate BIST operation  Performance overhead – extra path delays due to BIST  Yield loss – due to increased chip area or more chips In system because of BIST  Reliability reduction – due to increased area  Increased BIST hardware complexity – happens when BIST hardware is made
  • 7. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 7 BIST Benefits Faults tested:  Single combinational / sequential stuck- at faults  Delay faults  Single stuck-at faults in BIST hardware BIST benefits  Reduced testing and maintenance cost  Lower test generation cost  Reduced storage / maintenance of test patterns  Simpler and less expensive ATE  Can test many units in parallel  Shorter test application times  Can test at functional system speed
  • 8. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 8 Definitions BILBO – Built-in logic block observer, extra hardware added to flip-flops so they can be reconfigured as an LFSR pattern generator or response compacter, a scan chain, or as flip-flops Concurrent testing – Testing process that detects faults during normal system operation CUT – Circuit-under-test Exhaustive testing – Apply all possible 2n patterns to a circuit with n inputs Irreducible polynomial – Boolean polynomial that cannot be factored LFSR – Linear feedback shift register, hardware that generates pseudo-random
  • 9. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 9 More Definitions Primitive polynomial – Boolean polynomial p (x) that can be used to compute increasing powers n of xn modulo p (x) to obtain all possible non- zero polynomials of degree less than p (x) Pseudo-exhaustive testing – Break circuit into small, overlapping blocks and test each exhaustively Pseudo-random testing – Algorithmic pattern generator that produces a subset of all possible tests with most of the properties of randomly-generated patterns Signature – Any statistical circuit property distinguishing between bad and good circuits
  • 10. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 10 BIST Process Test controller – Hardware that activates self-test simultaneously on all PCBs Each board controller activates parallel chip BIST Diagnosis effective only if very high fault coverage
  • 11. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 11 BIST Architecture Note: BIST cannot test wires and transistors:  From PI pins to Input MUX  From POs to output pins
  • 12. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 12 BILBO – Works as Both a PG and a RC Built-in Logic Block Observer (BILBO) -- 4 modes: 1. Flip-flop 2. LFSR pattern generator 3. LFSR response compacter 4. Scan chain for flip-flops
  • 13. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 13 Complex BIST Architecture Testing epoch I:  LFSR1 generates tests for CUT1 and CUT2  BILBO2 (LFSR3) compacts CUT1 (CUT2) Testing epoch II:  BILBO2 generates test patterns for
  • 14. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 14 Bus-Based BIST Architecture Self-test control broadcasts patterns to each CUT over bus – parallel pattern generation Awaits bus transactions showing CUT’s responses to the patterns: serialized
  • 15. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 15 Pattern Generation Store in ROM – too expensive Exhaustive Pseudo-exhaustive Pseudo-random (LFSR) – Preferred method Binary counters – use more hardware than LFSR Modified counters Test pattern augmentation  LFSR combined with a few patterns in ROM  Hardware diffracter – generates pattern cluster in neighborhood of pattern stored in ROM
  • 16. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 16 Exhaustive Pattern Generation Shows that every state and transition works For n-input circuits, requires all 2n vectors
  • 17. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 17 Pseudo-Exhaustive Method Partition large circuit into fanin cones  Backtrace from each PO to PIs influencing it  Test fanin cones in parallel Reduced # of tests from 28 = 256 to 25 x 2 = 64  Incomplete fault coverage
  • 18. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 18 Pseudo-Exhaustive Pattern Generation
  • 19. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 19 Random Pattern Testing Bottom: Random- Pattern Resistant circuit
  • 20. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 20 Pseudo-Random Pattern Generation Standard Linear Feedback Shift Register (LFSR)  Produces patterns algorithmically – repeatable  Has most of desirable random # properties
  • 21. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 21 Matrix Equation for Standard LFSR X0 (t + 1) X1 (t + 1) . . . Xn-3 (t + 1) Xn-2 (t + 1) Xn-1 (t + 1) 1 0 . . . 0 0 h1 0 1 . . . 0 0 h2 0 0 . . . 0 0 1 … … … … … 0 0 . . . 1 0 hn-2 0 0 . . . 0 1 hn-1 X0 (t) X1 (t) . . . Xn-3 (t) Xn-2 (t) Xn-1 (t) = X (t + 1) = Ts X (t) (Ts is companion matrix)
  • 22. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 22 LFSR Implements a Galois Field  Galois field (mathematical system):  Multiplication by x same as right shift of LFSR  Addition operator is XOR ( )  Ts companion matrix:  1st column 0, except nth element which is always 1 (X0 always feeds Xn-1)  Rest of row n – feedback coefficients hi  Rest is identity matrix I – means a right shift Near-exhaustive (maximal length) LFSR  n ⊕
  • 23. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 23 Standard n-Stage LFSR Implementation Autocorrelation – any shifted sequence same as original in 2n-1 – 1 bits, differs in 2n-1 bits
  • 24. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 24 LFSR Theory Cannot initialize to all 0’s – hangs If X is initial state, progresses through states X, Ts X, Ts 2 X, Ts 3 X, … Matrix period: Smallest k such that Ts k = I  k LFSR cycle length Described by characteristic polynomial: f (x) = |Ts – I X | ≡
  • 25. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 25 LFSR Fault Coverage Projection Fault detection probability by a random number p (x) dx = fraction of detectable faults with detection probability between x and x + dx  p (x) dx 0 when 0 x 1  p (x) dx = 1 Exist p (x) dx faults with detection probability x Mean coverage of those faults is x p (x) dx Mean fault coverage yn of 1st n vectors: n total faults ≤≤ ∫0 1 ∫0 1 ≥ ≡ I (n) = (1 – x)n p (x) dxwhere
  • 26. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 26 LFSR Fault Coverage & Vector Length EstimationRandom-fault-detection (RFD) variable:  Vector # at which fault first detected  wi # faults with RFD variable i So p (x) = Σ wi pi (x) ns size of sample simulated; N # test vectors w0 ns - Σ wi Method:  Estimate random first detect variables wi from fault simulator using fault sampling  i = 1 N ≈ ≡ ≡ i = 1 N 1 ns ≡
  • 27. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 27 Example External XOR LFSR Characteristic polynomial f (x) = 1 + x + x3 (read taps from right to left)
  • 28. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 28 External XOR LFSR Pattern sequence for example LFSR (earlier): Always have 1 and xn terms in polynomial Never repeat an LFSR pattern more than 1 time –Repeats same error vector, cancels fault effect X0 (t + 1) X1 (t + 1) X2 (t + 1) 0 0 1 1 0 1 0 1 0 X0 (t) X1 (t) X2 (t) = X0 X1 X2 1 0 0 0 0 1 0 1 0 1 0 1 0 1 1 1 1 1 1 1 0 1 0 0 0 0 1 …
  • 29. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 29 Generic Modular LFSR
  • 30. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 30 Modular Internal XOR LFSR Described by companion matrix Tm = Ts T Internal XOR LFSR – XOR gates in between D flip-flops Equivalent to standard External XOR LFSR  With a different state assignment  Faster – usually does not matter  Same amount of hardware X (t + 1) = Tm x X (t) f (x) = | Tm – I X | = 1 + h1 x + h2 x2 + … + hn-1 xn-1 + xn
  • 31. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 31 Modular LFSR Matrix X0 (t + 1) X1 (t + 1) X2 (t + 1) . . . Xn-3 (t + 1) Xn-2 (t + 1) Xn-1 (t + 1) 0 0 1 . . . 0 0 0 0 0 0 . . . 0 1 0 0 1 0 . . . 0 0 0 … … … … … … 0 0 0 . . . 0 0 1 1 h1 h2 . . . hn-3 hn-2 hn-1 X0 (t) X1 (t) X2 (t) . . . Xn-3 (t) Xn-2 (t) Xn-1 (t) = 0 0 0 . . . 0 0 0
  • 32. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 32 Example Modular LFSR f (x) = 1 + x2 + x7 + x8 Read LFSR tap coefficients from left to right
  • 33. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 33 Primitive Polynomials Want LFSR to generate all possible 2n – 1 patterns (except the all-0 pattern) Conditions for this – must have a primitive polynomial:  Monic – coefficient of xn term must be 1 Modular LFSR – all D FF’s must right shift through XOR’s from X0 through X1, …, through Xn-1, which must feed back directly to X0 Standard LFSR – all D FF’s must right shift directly from Xn-1 through Xn-2, …,
  • 34. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 34  Characteristic polynomial must divide the polynomial 1 – xk for k = 2n – 1, but not for any smaller k value  See Appendix B of book for tables of primitive polynomials If p (error) = 0.5, no difference between behavior of primitive & non- primitive polynomial But p (error) is rarely = 0.5 In that case, non-primitive polynomial LFSR takes much longer to stabilize with Primitive Polynomials (continued)
  • 35. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 35 Weighted Pseudo- Random Pattern Generation If p (1) at all PIs is 0.5, pF (1) = 0.58 = Will need enormous # of random patterns to test a stuck-at 0 fault on F -- LFSR p (1) = 0.5  We must not use an ordinary LFSR to test this IBM – holds patents on weighted pseudo- random pattern generator in ATE 1 256 255 256 1 256pF (0) = 1 – = f F s-a-0
  • 36. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 36 Weighted Pseudo- Random Pattern Generator LFSR p (1) = 0.5 Solution: Add programmable weight selection and complement LFSR bits to get p (1)’s other than 0.5 Need 2-3 weight sets for a typical circuit Weighted pattern generator drastically shortens pattern length for pseudo- random patterns
  • 37. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 37 Weighted Pattern Gen. w1 0 0 0 0 w2 0 0 1 1 Inv. 0 1 0 1 p (output) ½ ½ ¼ 3/4 w1 1 1 1 1 w2 0 0 1 1 p (output) 1/8 7/8 1/16 15/16 Inv . 0 1 0 1
  • 38. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 38 Cellular Automata (CA) Superior to LFSR – even “more” random  No shift-induced bit value correlation  Can make LFSR more random with linear phase shifter Regular connections – each cell only connects to local neighbors xc-1 (t) xc (t) xc+1 (t) Gives CA cell connections 111 110 101 100 011 010 001 000 xc (t + 1) 0 1 0 1 1 0 1 0 6 4 3 1 ⊕
  • 39. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 39 Cellular Automaton Five-stage hybrid cellular automaton Rule 150: xc (t + 1) = xc-1 (t) xc (t) xc+1 (t) Alternate Rule 90 and Rule 150 CA ⊕ ⊕
  • 40. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 40 Test Pattern Augmentation Secondary ROM – to get LFSR to 100% SAF coverage  Add a small ROM with missing test patterns  Add extra circuit mode to Input MUX – shift to ROM patterns after LFSR done  Important to compact extra test patterns Use diffracter:  Generates cluster of patterns in neighborhood of stored ROM pattern Transform LFSR patterns into new vector set Put LFSR and transformation hardware
  • 41. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 41 Response Compaction Severe amounts of data in CUT response to LFSR patterns – example:  Generate 5 million random patterns  CUT has 200 outputs  Leads to: 5 million x 200 = 1 billion bits response Uneconomical to store and check all of these responses on chip Responses must be compacted
  • 42. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 42 Definitions Aliasing – Due to information loss, signatures of good and some bad machines match Compaction – Drastically reduce # bits in original circuit response – lose information Compression – Reduce # bits in original circuit response – no information loss – fully invertible (can get back original response) Signature analysis – Compact good machine response into good machine signature. Actual signature generated during testing, and compared with good machine signature
  • 43. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 43 Transition Counting
  • 44. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 44 Transition Counting Details Transition count: C (R) = Σ (ri ri-1) for all m primary outputs To maximize fault coverage:  Make C (R0) – good machine transition count – as large or as small as possible i = 1 m ⊕
  • 45. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 45 LFSR for Response Compaction Use cyclic redundancy check code (CRCC) generator (LFSR) for response compacter Treat data bits from circuit POs to be compacted as a decreasing order coefficient polynomial CRCC divides the PO polynomial by its characteristic polynomial  Leaves remainder of division in LFSR  Must initialize LFSR to seed value (usually 0) before testing After testing – compare signature in LFSR to known good machine signature Critical: Must compute good machine
  • 46. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 46 Example Modular LFSR Response Compacter LFSR seed value is “00000”
  • 47. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 47 Polynomial Division Logic simulation: Remainder = 1 + x2 + x3 0 1 0 1 0 0 0 1 0 x0 + 1 x1 + 0 x2 + 1 x3 + 0 x4 + 0 x5 + 0 x6 + 1 x7 Inputs Initial State 1 0 0 0 1 0 1 0 X0 0 1 0 0 0 1 1 1 1 X1 0 0 1 0 0 0 0 1 0 X2 0 0 0 1 0 0 0 0 1 X3 0 0 0 0 1 0 1 0 1 X4 0 0 0 0 0 1 0 1 0 . ....... Logic Simulation:
  • 48. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 48 Symbolic Polynomial Division x2 x7 x7 + 1 + x5 x5 x5 + x3 + x3 + x3 x3 + x2 + x2 + x2 + x + x + x + 1 + 1 x5 + x3 + x + 1 remainder Remainder matches that from logic simulation of the response compacter!
  • 49. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 49 Multiple-Input Signature Register (MISR) Problem with ordinary LFSR response compacter:  Too much hardware if one of these is put on each primary output (PO) Solution: MISR – compacts all outputs into one LFSR  Works because LFSR is linear – obeys superposition principle  Superimpose all responses in one LFSR – final remainder is XOR sum of remainders of polynomial divisions of each PO by the characteristic polynomial
  • 50. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 50 MISR Matrix Equation di (t) – output response on POi at time t X0 (t + 1) X1 (t + 1) . . . Xn-3 (t + 1) Xn-2 (t + 1) Xn-1 (t + 1) 1 0 . . . 0 0 h1 0 0 . . . 0 0 1 … … … … … 0 0 . . . 1 0 hn-2 0 0 . . . 0 1 hn-1 X0 (t) X1 (t) . . . Xn-3 (t) Xn-2 (t) Xn-1 (t) = d0 (t) d1 (t) . . . dn-3 (t) dn-2 (t) dn-1 (t) +
  • 51. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 51 Modular MISR Example X0 (t + 1) X1 (t + 1) X2 (t + 1) 0 0 1 0 1 0 1 1 0 = X0 (t) X1 (t) X2 (t) d0 (t) d1 (t) d2 (t) +
  • 52. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 52 Multiple Signature Checking Use 2 different testing epochs:  1st with MISR with 1 polynomial  2nd with MISR with different polynomial Reduces probability of aliasing –  Very unlikely that both polynomials will alias for the same fault Low hardware cost:  A few XOR gates for the 2nd MISR polynomial  A 2-1 MUX to select between two feedback polynomials
  • 53. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 53 Aliasing Probability Aliasing – when bad machine signature equals good machine signature Consider error vector e (n) at POs  Set to a 1 when good and faulty machines differ at the PO at time t Pal aliasing probability p probability of 1 in e (n) Aliasing limits:  0 < p ½, pk Pal (1 – p)k  ½ p 1, (1 – p)k Pal pk ≡ ≤ ≤≤ ≤≤≤ ≤ ≡
  • 54. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 54 Aliasing Probability Graph
  • 55. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 55 Additional MISR Aliasing MISR has more aliasing than LFSR on single PO  Error in CUT output dj at ti, followed by error in output dj+h at ti+h, eliminates any signature error if no feedback tap in MISR between bits Qj and Qj+h.
  • 56. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 56 Aliasing Theorems Theorem 15.1: Assuming that each circuit PO dij has probability p of being in error, and that all outputs dij are independent, in a k-bit MISR, Pal = 1/(2k ), regardless of initial condition of MISR. Not exactly true – true in practice. Theorem 15.2: Assuming that each PO dij has probability pj of being in error, where the p probabilities are independent, and
  • 57. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 57 Experiment Hardware 3 bit exhaustive binary counter for pattern generator
  • 58. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 58 Transition Counting vs. LFSRLFSR aliases for f sa1, transition counter for a sa1 Pattern abc 000 001 010 011 100 101 110 111 Transition Count LFSR Good 0 1 0 0 0 1 1 1 3 001 a sa1 0 1 1 1 0 1 1 1 Signatures 3 101 f sa1 1 1 1 1 1 1 1 1 0 001 b sa1 0 0 0 0 1 1 1 1 1 010 Responses
  • 59. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 25 59 Summary LFSR pattern generator and MISR response compacter – preferred BIST methods BIST has overheads: test controller, extra circuit delay, Input MUX, pattern generator, response compacter, DFT to initialize circuit & test the test hardware BIST benefits:  At-speed testing for delay & stuck-at faults  Drastic ATE cost reduction  Field test capability  Faster diagnosis during system test  Less effort to design testing process  Shorter test application times