SlideShare a Scribd company logo
1 of 4
Download to read offline
Abhishek Agal et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.574-577
www.ijera.com 574 | P a g e
6T SRAM Cell: Design And Analysis
Abhishek Agal*, Pardeep*, Bal Krishan**
* (Electronics Engineering Department, YMCA University of science & technology, Faridabad)
**(A.P., Electronics Engineering Department, YMCA University of science & technology, Faridabad)
ABSTRACT
SRAM has become a major component in many VLSI Chips due to their large storage density and small access
time. SRAM has become the topic of substantial research due to the rapid development for low power, low
voltage memory design during recent years due to increase demand for notebooks, laptops, IC memory cards
and hand held communication devices. SRAMs are widely used for mobile applications as both on chip and off-
chip memories, because of their ease of use and low standby leakage.
The main objective of this paper is evaluating performance in terms of Power consumption, delay and SNM of
existing 6T CMOS SRAM cell in 45nm and 180nm technology.
Keywords –6T SRAM cell, Power dissipation, Read Delay, SNM, Write Delay.
I. INTRODUCTION
6T static random-access memory is a type of
semiconductor memory that uses bistable latching
circuitry to store each bit. The term static
differentiates it from dynamic RAM which must be
periodically refreshed. SRAM exhibits data
remembrance, but is still volatile in conventional
sense, that data is eventually lost when memory is not
powered.
II. 6T SRAM CELL OPERATION
1. Standby Mode (the circuit is idle)
In standby mode word line is not asserted
(word line=0), so pass transistors N3 and N4 which
connect 6t cell from bit lines are turned off. It means
that cell cannot be accessed. The two cross coupled
inverters formed by N1-N2 will continue to feed back
each other as long as they are connected to the
supply, and data will hold in the latch.
2. Read Mode (the data has been requested)
In read mode word line is asserted (word
line=1), Word line enables both the access transistor
which will connect cell from the bit lines. Now
values stored in nodes (node a and b) are transferred
to the bit lines. Assume that 1 is stored at node a so
bit line bar will discharge through the driver
transistor (N1) and the bit line will be pull up through
the Load transistors (P1) toward VDD, a logical 1.
Design of SRAM cell requires read stability (do not
disturb data when reading).
3. Write Mode (updating the contents)
Assume that the cell is originally storing a 1
and we wish to write a 0. To do this, the bit line is
lowered to 0V and bit bar is raised to VDD, and cell
is selected by raising the word line to VDD.
Typically, each of the inverters is designed so that
PMOS and NMOS are matched, thus inverter
threshold is kept at VDD/2. If we wish to write 0 at
node a, N3 operates in saturation. Initially, its source
voltage is 1. Drain terminal of N2 is initially at 1
which is pulled down by N3 because access transistor
N3 is stronger than N1. Now N2 turns on and P1
turns off, thus new value has been written which
forces bit line lowered to 0V and bit bar to VDD.
SRAM to operate in write mode must have write-
ability which is minimum bit line voltage required to
flip the state of the cell.
FIGURE 1 Schematic of 6t SRAM cell
III. PERFORMANCE PARAMETERS OF
SRAM
SNM: maximum dc voltage that the cell
tolerates before it changes state in read mode. The
size of the maximum square that can be inscribed in
butterfly curve gives the SNM of the SRAM cell.
Instead of measuring the read stability and write
ability separately a method known as N-curve
RESEARCH ARTICLE OPEN ACCESS
Abhishek Agal et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.574-577
www.ijera.com 575 | P a g e
method by which both parameters can be arrived at
simultaneously is used. Hold SNM is the maximum
dc noise that the cell tolerates before it changes state
in the hold mode.
Write delay: It is the delay between the
applications of the word line WL signal and the time
at which the data is actually written.
Read delay: Read delay is the delay
involved in allowing the bit lines to discharge by
about 10% of the peak value or the delay between the
application of the WL signal and the response time of
the sense amplifier.
IV. RESULTS AND ANALYSIS
1. 180nm Technology
FIGURE 2 Transient analysis at 180nm technology
1. Average power consumed = 1.317488e-004
watts.
2. Read delay = 4.7168e-010 sec.
3. Write delay= 9.6081e-010 sec.
4. Hold SNM=0.5 volt.
5. Read SNM=0.1 volt.
6. Write SNM=0.7 volt.
FIGURE 3 Butterfly curve for hold operation at
180nm technology
FIGURE 4 Butterfly curve for read operation at
180nm technology
FIGURE 5 Butterfly curve for write operation at
180nm technology
Abhishek Agal et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.574-577
www.ijera.com 576 | P a g e
2. 45nmTchnology:
FIGURE 6 Transient analysis at 45nm technology
1. Average power consumed = 8.492199e-010
watts.
2. Read Delay = 4.7222e-010 sec.
3. Write Delay= 2.1395e-009 sec.
4. Hold SNM=0.15 volt.
5. Read SNM=0.05 volt.
6. Write SNM=0.2 volt.
FIGURE 7 Butterfly curve for hold operation at
45nm technology
FIGURE 8 Butterfly curve for read operation at
45nm technology
FIGURE 9 Butterfly curve for write operation at
45nm technology
V. CONCLUSION
Simulation and analyzation of performance
of 6T SRAM cells at 180nm and 45nm technology
for parameters like power consumption, delay and
SNM is as desired. Simulation results shows clearly
how read, write operation is performed.
VI. ACKNOWLEDGEMENTS
For power constrained projects like space
exploration and satellites the SRAM cell which
consumes minimum power should be used while for
very fast processing devices the SRAM cell which
has minimum time delay should be used. The SRAM
cell which has maximum SNM can be used in the
device which works in noisy environment. The
design of SRAM cell can be optimized by tradeoff
between various performance parameters. New
design is not introduced within the project. In future
we will propose new SRAM cell design or schematic,
which decreases read/write delay, consumes less
power.
Abhishek Agal et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.574-577
www.ijera.com 577 | P a g e
REFERENCES
Journal Papers:
[1] Ajay Kumar Dadoria, Arjun Singh Yadav,
C.M Roy, “Comparative Analysis Of
Variable N-T Sram Cells” International
Journal of Advanced Research in Computer
Science and Software Engineering, Volume
3, Issue 4, April 2013.
[2] Seevinck, F. J. List, J. Lohstroh, “Static-
Noise Margin Analysis of MOS SRAM
Cells” IEEE J. Solid-State Circuits, vol. SC-
22, pp. 748-754, Oct. 1987.
[3] Sapna singh, Neha Arora, Meenkshi Suthar,
Neha Gupta, “Performance evaluation of
different SRAM cell structures at different
technologies”, International Journal of VLSI
design& communication systems (VLSICS)
vol.3, No.1, Februry 2012.
[4] J. Rabaey, “Digital integrated circuits, A
design perspective”, prentice hall, upper
saddle river, NJ, 1996.
[5] Andrei Pavlov, Manoj Sachdev, “CMOS
SRAM Circuit Design and parametric test in
nano-scaled technologies, Process Aware
SRAM Design and Test”, springer, 2008.
[6] M. Adiseshaiah, D. sharath Babu Rao, V.
Venkateswara Reddy, “Implementation and
Design of 6T SRAM with Read and write
Assist circuits, IJREAS, Volume2, Issue 5
(May 2012), 2249-3905.
[7] Ravindra kumar, Dr. Gurjit Kaur, “A Novel
Approach to design of 6T (8X8) SRAM cell
low power dissipation using MCML
technique on 45NM”, International Journal
of Engineering Research and applications
(IJERA), vol.2, Issue 4, July- August 2012,
PP. 093-097
[8] C.-T. Chuang, S. Mukhopadhyay, J.-J. Kim,
K. Kim, R. Rao, “High-performance SRAM
in nanoscale CMOS: Design challenges and
techniques,” in IEEE Int. Workshop.,
Memory Technology, Design and Testing,
Taipei, Taiwan, Dec. 3-5, 2007, pp. 4-12.
[9] H. Yamauchi, “A Discussion on SRAM
Circuit Design Trend in Deeper Nanometer-
Scale Technologies,” IEEE Trans. Very
Large Scale Integr. Syst. vol.18, no.5,
pp.763-774, May 2010.
[10] Arash Azizi-Mazreah, Mohammad T.
Manzuri Shalmani, Hamid Barati and Ali
Barati, “Delay and Energy consumption
Analysis of conventional SRAM”, World
Academy of Science, Engineering and
Technology no. 37, 2008.

More Related Content

Viewers also liked

Meteor Goodie Bag case study
Meteor Goodie Bag case studyMeteor Goodie Bag case study
Meteor Goodie Bag case studyPost Media
 
Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...
Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...
Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...IJERA Editor
 
Influence Of Gamma Irradiation On The Dielectric Properties Of PVA- PS Polyme...
Influence Of Gamma Irradiation On The Dielectric Properties Of PVA- PS Polyme...Influence Of Gamma Irradiation On The Dielectric Properties Of PVA- PS Polyme...
Influence Of Gamma Irradiation On The Dielectric Properties Of PVA- PS Polyme...IJERA Editor
 
Electroelastic Response of a Piezoelectric Semi-infinite Body with D∞ Symmetr...
Electroelastic Response of a Piezoelectric Semi-infinite Body with D∞ Symmetr...Electroelastic Response of a Piezoelectric Semi-infinite Body with D∞ Symmetr...
Electroelastic Response of a Piezoelectric Semi-infinite Body with D∞ Symmetr...IJERA Editor
 
High Speed Memory Efficient Multiplier-less 1-D 9/7 Wavelet Filters Based NED...
High Speed Memory Efficient Multiplier-less 1-D 9/7 Wavelet Filters Based NED...High Speed Memory Efficient Multiplier-less 1-D 9/7 Wavelet Filters Based NED...
High Speed Memory Efficient Multiplier-less 1-D 9/7 Wavelet Filters Based NED...IJERA Editor
 
Growth and Characterization of Barium doped Potassium Hydrogen Phthalate Sing...
Growth and Characterization of Barium doped Potassium Hydrogen Phthalate Sing...Growth and Characterization of Barium doped Potassium Hydrogen Phthalate Sing...
Growth and Characterization of Barium doped Potassium Hydrogen Phthalate Sing...IJERA Editor
 
Two-temperature elasto-thermo diffusive response inside a spherical shell wit...
Two-temperature elasto-thermo diffusive response inside a spherical shell wit...Two-temperature elasto-thermo diffusive response inside a spherical shell wit...
Two-temperature elasto-thermo diffusive response inside a spherical shell wit...IJERA Editor
 
The Performance Evaluation of Concrete Filled Steel Tubular Arch Bridge
The Performance Evaluation of Concrete Filled Steel Tubular Arch BridgeThe Performance Evaluation of Concrete Filled Steel Tubular Arch Bridge
The Performance Evaluation of Concrete Filled Steel Tubular Arch BridgeIJERA Editor
 
Womens Aid - New Donor Campaign
Womens Aid - New Donor CampaignWomens Aid - New Donor Campaign
Womens Aid - New Donor CampaignPost Media
 
On The Number of Representations of a Positive Integer By Certain Binary Quad...
On The Number of Representations of a Positive Integer By Certain Binary Quad...On The Number of Representations of a Positive Integer By Certain Binary Quad...
On The Number of Representations of a Positive Integer By Certain Binary Quad...IJERA Editor
 
Analysis On Classification Techniques In Mammographic Mass Data Set
Analysis On Classification Techniques In Mammographic Mass Data SetAnalysis On Classification Techniques In Mammographic Mass Data Set
Analysis On Classification Techniques In Mammographic Mass Data SetIJERA Editor
 
Reviewing the factors of the Renewable Energy systems for Improving the Energ...
Reviewing the factors of the Renewable Energy systems for Improving the Energ...Reviewing the factors of the Renewable Energy systems for Improving the Energ...
Reviewing the factors of the Renewable Energy systems for Improving the Energ...IJERA Editor
 
Using Social network in Higher Education A case Study on the University of Pa...
Using Social network in Higher Education A case Study on the University of Pa...Using Social network in Higher Education A case Study on the University of Pa...
Using Social network in Higher Education A case Study on the University of Pa...IJERA Editor
 

Viewers also liked (18)

T0440899104
T0440899104T0440899104
T0440899104
 
Meteor Goodie Bag case study
Meteor Goodie Bag case studyMeteor Goodie Bag case study
Meteor Goodie Bag case study
 
Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...
Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...
Enhanced Seamless Handoff Using Multiple Access Points in Wireless Local Area...
 
Influence Of Gamma Irradiation On The Dielectric Properties Of PVA- PS Polyme...
Influence Of Gamma Irradiation On The Dielectric Properties Of PVA- PS Polyme...Influence Of Gamma Irradiation On The Dielectric Properties Of PVA- PS Polyme...
Influence Of Gamma Irradiation On The Dielectric Properties Of PVA- PS Polyme...
 
Electroelastic Response of a Piezoelectric Semi-infinite Body with D∞ Symmetr...
Electroelastic Response of a Piezoelectric Semi-infinite Body with D∞ Symmetr...Electroelastic Response of a Piezoelectric Semi-infinite Body with D∞ Symmetr...
Electroelastic Response of a Piezoelectric Semi-infinite Body with D∞ Symmetr...
 
L045056469
L045056469L045056469
L045056469
 
High Speed Memory Efficient Multiplier-less 1-D 9/7 Wavelet Filters Based NED...
High Speed Memory Efficient Multiplier-less 1-D 9/7 Wavelet Filters Based NED...High Speed Memory Efficient Multiplier-less 1-D 9/7 Wavelet Filters Based NED...
High Speed Memory Efficient Multiplier-less 1-D 9/7 Wavelet Filters Based NED...
 
Am04605271282
Am04605271282Am04605271282
Am04605271282
 
C046031318
C046031318C046031318
C046031318
 
Eo4301852855
Eo4301852855Eo4301852855
Eo4301852855
 
Growth and Characterization of Barium doped Potassium Hydrogen Phthalate Sing...
Growth and Characterization of Barium doped Potassium Hydrogen Phthalate Sing...Growth and Characterization of Barium doped Potassium Hydrogen Phthalate Sing...
Growth and Characterization of Barium doped Potassium Hydrogen Phthalate Sing...
 
Two-temperature elasto-thermo diffusive response inside a spherical shell wit...
Two-temperature elasto-thermo diffusive response inside a spherical shell wit...Two-temperature elasto-thermo diffusive response inside a spherical shell wit...
Two-temperature elasto-thermo diffusive response inside a spherical shell wit...
 
The Performance Evaluation of Concrete Filled Steel Tubular Arch Bridge
The Performance Evaluation of Concrete Filled Steel Tubular Arch BridgeThe Performance Evaluation of Concrete Filled Steel Tubular Arch Bridge
The Performance Evaluation of Concrete Filled Steel Tubular Arch Bridge
 
Womens Aid - New Donor Campaign
Womens Aid - New Donor CampaignWomens Aid - New Donor Campaign
Womens Aid - New Donor Campaign
 
On The Number of Representations of a Positive Integer By Certain Binary Quad...
On The Number of Representations of a Positive Integer By Certain Binary Quad...On The Number of Representations of a Positive Integer By Certain Binary Quad...
On The Number of Representations of a Positive Integer By Certain Binary Quad...
 
Analysis On Classification Techniques In Mammographic Mass Data Set
Analysis On Classification Techniques In Mammographic Mass Data SetAnalysis On Classification Techniques In Mammographic Mass Data Set
Analysis On Classification Techniques In Mammographic Mass Data Set
 
Reviewing the factors of the Renewable Energy systems for Improving the Energ...
Reviewing the factors of the Renewable Energy systems for Improving the Energ...Reviewing the factors of the Renewable Energy systems for Improving the Energ...
Reviewing the factors of the Renewable Energy systems for Improving the Energ...
 
Using Social network in Higher Education A case Study on the University of Pa...
Using Social network in Higher Education A case Study on the University of Pa...Using Social network in Higher Education A case Study on the University of Pa...
Using Social network in Higher Education A case Study on the University of Pa...
 

Similar to Cx4301574577

An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...IRJET Journal
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationTeam-VLSI-ITMU
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERIRJET Journal
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
 
Implementation of FPGA based Memory Controller for DDR2 SDRAM
Implementation of FPGA based Memory Controller for DDR2 SDRAMImplementation of FPGA based Memory Controller for DDR2 SDRAM
Implementation of FPGA based Memory Controller for DDR2 SDRAMIRJET Journal
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellIJERA Editor
 
Testing DRAM and Correcting errorsby using Adaptive Technique
Testing DRAM and Correcting errorsby using Adaptive TechniqueTesting DRAM and Correcting errorsby using Adaptive Technique
Testing DRAM and Correcting errorsby using Adaptive TechniqueIJERA Editor
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyIRJET Journal
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET Journal
 
ZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptxZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptxSiddhu0072
 

Similar to Cx4301574577 (20)

An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
Kj2417641769
Kj2417641769Kj2417641769
Kj2417641769
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
I44094245
I44094245I44094245
I44094245
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
Implementation of FPGA based Memory Controller for DDR2 SDRAM
Implementation of FPGA based Memory Controller for DDR2 SDRAMImplementation of FPGA based Memory Controller for DDR2 SDRAM
Implementation of FPGA based Memory Controller for DDR2 SDRAM
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
 
Testing DRAM and Correcting errorsby using Adaptive Technique
Testing DRAM and Correcting errorsby using Adaptive TechniqueTesting DRAM and Correcting errorsby using Adaptive Technique
Testing DRAM and Correcting errorsby using Adaptive Technique
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
ZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptxZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptx
 

Recently uploaded

Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slidespraypatel2
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure servicePooja Nehwal
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitecturePixlogix Infotech
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...HostedbyConfluent
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonetsnaman860154
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 3652toLead Limited
 
Snow Chain-Integrated Tire for a Safe Drive on Winter Roads
Snow Chain-Integrated Tire for a Safe Drive on Winter RoadsSnow Chain-Integrated Tire for a Safe Drive on Winter Roads
Snow Chain-Integrated Tire for a Safe Drive on Winter RoadsHyundai Motor Group
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreternaman860154
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxMalak Abu Hammad
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Artificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraArtificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraDeakin University
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking MenDelhi Call girls
 

Recently uploaded (20)

Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slides
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC Architecture
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
 
Snow Chain-Integrated Tire for a Safe Drive on Winter Roads
Snow Chain-Integrated Tire for a Safe Drive on Winter RoadsSnow Chain-Integrated Tire for a Safe Drive on Winter Roads
Snow Chain-Integrated Tire for a Safe Drive on Winter Roads
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptx
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Artificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraArtificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning era
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 

Cx4301574577

  • 1. Abhishek Agal et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.574-577 www.ijera.com 574 | P a g e 6T SRAM Cell: Design And Analysis Abhishek Agal*, Pardeep*, Bal Krishan** * (Electronics Engineering Department, YMCA University of science & technology, Faridabad) **(A.P., Electronics Engineering Department, YMCA University of science & technology, Faridabad) ABSTRACT SRAM has become a major component in many VLSI Chips due to their large storage density and small access time. SRAM has become the topic of substantial research due to the rapid development for low power, low voltage memory design during recent years due to increase demand for notebooks, laptops, IC memory cards and hand held communication devices. SRAMs are widely used for mobile applications as both on chip and off- chip memories, because of their ease of use and low standby leakage. The main objective of this paper is evaluating performance in terms of Power consumption, delay and SNM of existing 6T CMOS SRAM cell in 45nm and 180nm technology. Keywords –6T SRAM cell, Power dissipation, Read Delay, SNM, Write Delay. I. INTRODUCTION 6T static random-access memory is a type of semiconductor memory that uses bistable latching circuitry to store each bit. The term static differentiates it from dynamic RAM which must be periodically refreshed. SRAM exhibits data remembrance, but is still volatile in conventional sense, that data is eventually lost when memory is not powered. II. 6T SRAM CELL OPERATION 1. Standby Mode (the circuit is idle) In standby mode word line is not asserted (word line=0), so pass transistors N3 and N4 which connect 6t cell from bit lines are turned off. It means that cell cannot be accessed. The two cross coupled inverters formed by N1-N2 will continue to feed back each other as long as they are connected to the supply, and data will hold in the latch. 2. Read Mode (the data has been requested) In read mode word line is asserted (word line=1), Word line enables both the access transistor which will connect cell from the bit lines. Now values stored in nodes (node a and b) are transferred to the bit lines. Assume that 1 is stored at node a so bit line bar will discharge through the driver transistor (N1) and the bit line will be pull up through the Load transistors (P1) toward VDD, a logical 1. Design of SRAM cell requires read stability (do not disturb data when reading). 3. Write Mode (updating the contents) Assume that the cell is originally storing a 1 and we wish to write a 0. To do this, the bit line is lowered to 0V and bit bar is raised to VDD, and cell is selected by raising the word line to VDD. Typically, each of the inverters is designed so that PMOS and NMOS are matched, thus inverter threshold is kept at VDD/2. If we wish to write 0 at node a, N3 operates in saturation. Initially, its source voltage is 1. Drain terminal of N2 is initially at 1 which is pulled down by N3 because access transistor N3 is stronger than N1. Now N2 turns on and P1 turns off, thus new value has been written which forces bit line lowered to 0V and bit bar to VDD. SRAM to operate in write mode must have write- ability which is minimum bit line voltage required to flip the state of the cell. FIGURE 1 Schematic of 6t SRAM cell III. PERFORMANCE PARAMETERS OF SRAM SNM: maximum dc voltage that the cell tolerates before it changes state in read mode. The size of the maximum square that can be inscribed in butterfly curve gives the SNM of the SRAM cell. Instead of measuring the read stability and write ability separately a method known as N-curve RESEARCH ARTICLE OPEN ACCESS
  • 2. Abhishek Agal et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.574-577 www.ijera.com 575 | P a g e method by which both parameters can be arrived at simultaneously is used. Hold SNM is the maximum dc noise that the cell tolerates before it changes state in the hold mode. Write delay: It is the delay between the applications of the word line WL signal and the time at which the data is actually written. Read delay: Read delay is the delay involved in allowing the bit lines to discharge by about 10% of the peak value or the delay between the application of the WL signal and the response time of the sense amplifier. IV. RESULTS AND ANALYSIS 1. 180nm Technology FIGURE 2 Transient analysis at 180nm technology 1. Average power consumed = 1.317488e-004 watts. 2. Read delay = 4.7168e-010 sec. 3. Write delay= 9.6081e-010 sec. 4. Hold SNM=0.5 volt. 5. Read SNM=0.1 volt. 6. Write SNM=0.7 volt. FIGURE 3 Butterfly curve for hold operation at 180nm technology FIGURE 4 Butterfly curve for read operation at 180nm technology FIGURE 5 Butterfly curve for write operation at 180nm technology
  • 3. Abhishek Agal et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.574-577 www.ijera.com 576 | P a g e 2. 45nmTchnology: FIGURE 6 Transient analysis at 45nm technology 1. Average power consumed = 8.492199e-010 watts. 2. Read Delay = 4.7222e-010 sec. 3. Write Delay= 2.1395e-009 sec. 4. Hold SNM=0.15 volt. 5. Read SNM=0.05 volt. 6. Write SNM=0.2 volt. FIGURE 7 Butterfly curve for hold operation at 45nm technology FIGURE 8 Butterfly curve for read operation at 45nm technology FIGURE 9 Butterfly curve for write operation at 45nm technology V. CONCLUSION Simulation and analyzation of performance of 6T SRAM cells at 180nm and 45nm technology for parameters like power consumption, delay and SNM is as desired. Simulation results shows clearly how read, write operation is performed. VI. ACKNOWLEDGEMENTS For power constrained projects like space exploration and satellites the SRAM cell which consumes minimum power should be used while for very fast processing devices the SRAM cell which has minimum time delay should be used. The SRAM cell which has maximum SNM can be used in the device which works in noisy environment. The design of SRAM cell can be optimized by tradeoff between various performance parameters. New design is not introduced within the project. In future we will propose new SRAM cell design or schematic, which decreases read/write delay, consumes less power.
  • 4. Abhishek Agal et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.574-577 www.ijera.com 577 | P a g e REFERENCES Journal Papers: [1] Ajay Kumar Dadoria, Arjun Singh Yadav, C.M Roy, “Comparative Analysis Of Variable N-T Sram Cells” International Journal of Advanced Research in Computer Science and Software Engineering, Volume 3, Issue 4, April 2013. [2] Seevinck, F. J. List, J. Lohstroh, “Static- Noise Margin Analysis of MOS SRAM Cells” IEEE J. Solid-State Circuits, vol. SC- 22, pp. 748-754, Oct. 1987. [3] Sapna singh, Neha Arora, Meenkshi Suthar, Neha Gupta, “Performance evaluation of different SRAM cell structures at different technologies”, International Journal of VLSI design& communication systems (VLSICS) vol.3, No.1, Februry 2012. [4] J. Rabaey, “Digital integrated circuits, A design perspective”, prentice hall, upper saddle river, NJ, 1996. [5] Andrei Pavlov, Manoj Sachdev, “CMOS SRAM Circuit Design and parametric test in nano-scaled technologies, Process Aware SRAM Design and Test”, springer, 2008. [6] M. Adiseshaiah, D. sharath Babu Rao, V. Venkateswara Reddy, “Implementation and Design of 6T SRAM with Read and write Assist circuits, IJREAS, Volume2, Issue 5 (May 2012), 2249-3905. [7] Ravindra kumar, Dr. Gurjit Kaur, “A Novel Approach to design of 6T (8X8) SRAM cell low power dissipation using MCML technique on 45NM”, International Journal of Engineering Research and applications (IJERA), vol.2, Issue 4, July- August 2012, PP. 093-097 [8] C.-T. Chuang, S. Mukhopadhyay, J.-J. Kim, K. Kim, R. Rao, “High-performance SRAM in nanoscale CMOS: Design challenges and techniques,” in IEEE Int. Workshop., Memory Technology, Design and Testing, Taipei, Taiwan, Dec. 3-5, 2007, pp. 4-12. [9] H. Yamauchi, “A Discussion on SRAM Circuit Design Trend in Deeper Nanometer- Scale Technologies,” IEEE Trans. Very Large Scale Integr. Syst. vol.18, no.5, pp.763-774, May 2010. [10] Arash Azizi-Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati and Ali Barati, “Delay and Energy consumption Analysis of conventional SRAM”, World Academy of Science, Engineering and Technology no. 37, 2008.