SlideShare a Scribd company logo
1 of 30
Download to read offline
1
 ULVAC CRYOGENICS INC CRYO U8HSP EB1 / PUMP XD12‐2519 W / REFRIG UNIT R 10RT / ULVAC 
CRYOGENICS INC
2 017‐0950‐01 / ROBOT RELIANCE SERIES, SIGL PADDLE,M WITH CASS MAPPING
3 10' x 10' Modular Clean Room; Class 10,000, ISO 7; HEPA Cleanroom Kit; Hard Wall
4 100 Horsepower FRP Chemical Fume Scrubber Blower Exhaust Fan to 90,000 cfm or 13
5 100 Horsepower FRP Chemical Fume Scrubber Blower Fan to 100,000 cfm or 12.5" sp
6 1120‐01071 OPT BIFURCATED BDL SPC 210/230N BICON CONN 25'L
7 12' ICF Bracing & Alignment System
8 12 inch round semiXicon porous ceramic vacuum chuck table
9 12' x 20' Modular Clean Room; Class 10,000, ISO 7; HEPA Cleanroom Kit; Hard Wall
10 12.5" ID 14.5" deep vacuum chamber for CVD gas shower, sample stage, load lock
11 120108355 / COOLING UNIT CABINET L 350W RT40 TYPE H 230V 1PH 9A / ROFIN
12 120‐22482‐000 / CONTROLLER, PUMP, CENTRIFUGAL, LC325, 100‐30003 / CELERITY
13 13.56MHz RF Power Supply [RF Generator & RF Matching Network/Tuner]
14 16' x 30' Modular Clean Room; Class 10,000, ISO 7; HEPA Cleanroom Kit; Hard Wall
15 20 x 20 x 10 ISO 8 MODULAR CLEANROOM/ COMPOUNDING ROOM / LAB / EXTRACTION ROOM
16 20' x 40' Modular Clean Room; Class 10,000, ISO 7; HEPA Cleanroom Kit; Hard Wall
17 26x6" 11 port Parallel Plate RF Plasma Vacuum Chamber & components for Research
18 316L SS Glove Box High Vacuum Carlisle
19 3880‐200061‐12 / TEMPERATURE CONTROLLER MODEL780 VER2.0 / TOKYO ELECTRON TEL
20 3D Electronic Circuit Printing System (Direct Writing, Maskless Lithography)
21 4 DIMENSIONS AUTOMATED 4‐POINT PROBE
22 4" (100mm) wafer fab for 1 micron geometries
23 4D / Four Dimension 280 Automatic Four Point Probe Meter W/ 280PC Programmer
24 500 Silicon Wafers, various grades, sizes and types
25 6 inch diameter round sputter gun
26 6"x4” Six Ports Stainless Steel Vacuum Chamber Gate Valve Short Cross 2‐3/4”
27 60 Horsepower FRP Chemical Fume Scrubber Blower Exhaust Fan 40,000 cfm at 6" sp
28 6'SCP Global Stainless Steel Wet Sink 3Hot Rinse Fire
29 6‐Way 6” CF Port Cross Stainless Steel High Vacuum Chamber
30 8 inch focused ion beam(FIB) circuit edit practice(test) wafer
31 8' x 8' x 8' Clean room
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 1 sales@semistarcorp.com
32 8” Cross Stainless Vacuum Chamber Leak Adjusttable valve Varian Pump 951‐5106
33 8” Stainless Steel Vacuum Chamber Ion Pump gate Leak valve Varian 951‐5106 
34 AAT X30‐F Vertical Format Batch Cleaning Fire Suppression System USED (7580) R
35 AB LASERS 601‐17060 / M‐3 HEAD (AB LASERS) (10200‐090 LASERT / AB LASERS
36 ABB / AUTOCLAVE ENGINEERS WIP7.5‐2205ASPB2 ISOSTATIC PRESS 5500 PSI @ 200F
37 ABM 8" Mask Aligner / Substrates up to 200mm / Refurbished & Guaranteed
38 ABM Mask aligner
39 ABM Mask Aligner UV Exposure System w/ Radiation Power Systems 2110C2 Controller
40 ABM‐407‐1‐S‐CE‐Z1 / WAFER HANDLING ROBOT WITH EXCHANGE / PRI
41 ACCEL COLUMN / ACCELERATOR COLUMN
42
ACCELERATOR SYSTEMS INC D589‐16 / ACCELERATOR VOLT DRIVER, VIISTA VIS / ACCELERATOR 
SYSTEMS INC
43 Accent Bio‐Rad Q8 Registration Tool
44 Accord 526 Rigid Disk Cleaner Wafer Cleaner
45 ACCRETECH TSK UF 2000 Prober
46 ACCU‐CUT 3X4SM‐1AE, 12 SPINDLES, 3 CUTTING STATIONS, ETAMIC CM2 MEASURING SYSTEM
47 Accuflex Z‐Axis Controller P/N 1009264
48 Acculogic Sprint 4510 Flying probe tester ESI‐1004 prober
49 ACCURA  100‐6386 / 100‐14980, ACCURA FEI 800, SYSTEM CONTROLLER / MICRION
50 ACE 2011 ACE Kiss 103 Selective Solder Machine
51 AceCo CS33‐155N Aluminum Cathode Upper Electrode Reseller
52 ACRO CHILLER T‐3055DD TOKYO ELECTRON 200‐240 V 50/60 Hz 3 PH R‐507C REFRIGERANT
53 ACRO TEL DAIKIN CHILLER OPERATOR INTERFACE UBRP4C91IN 3D80‐000711Y4
54 AD Tec ADTec TR‐3000‐E13‐MT RF Generator, 3000W, 13.56MHz 
55 ADAPTEC 232206‐001 / BOARD, 10332‐11150 REV B / ADAPTEC
56 ADE 6034 Silicon Wafer Thickness / TTV Gauge Warp ADE MICROSENSE
57 ADE 6300 3" ‐ 12" / 300mm Mint Condition Wafer Thickness / KLA‐Tencor
58 ADE 9300 Ultrascan 9300 / Hi & Lo Res / Dual Robot / Light Curtain 
59 ADE 9500 STRESS MEASUREMENT
60 ADE Corporation Microsense 6035 Slice Sensitivity Gauge high res
61 ADE Corporation Microsense 6035 Slice Sensitivity Gauge Low res
62 ADE Corporation Microsense 6035 Slice Sensitivity Gauge MicRhoSense 6035‐150
63 ADE Corporation Microsense Slice Sensitivity Gauges high res and Lo Res 8100
64 ADE Microsense, KLA Tencor, Wafer Sight Thickness Gauge , controller Inst. Kit.
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 2 sales@semistarcorp.com
65 Adept Technologies Viper s650 CR Robot 2x end effector heads + 14 day warranty
66 ADIXEN ASM 380 high‐performance leak detector9
67 ADIXEN ATH‐2300M / ALCATEL TURBO PUMP / ADIXEN
68 ADIXEN ATX602742 / ATP/80 TURBO ASSEMBLY W/ VAT 9400X‐XA11‐ADK3 / ADIXEN
69 ADS 1202P / DRY VACUUM PUMP / ALCATEL
70 ADT 7100 Vectus Wafer Dicer ADT Water Recycling System 927 & chiller
71 ADTEC AX‐1000II / AX‐1000AMII GENERATOR
72 Adtec AX‐2000 2000W RF Plasma Generator ver 4.1 Ad‐Tec sputtering system 4400
73 Adtec AX‐2000EUII‐N RF Generator 2KW 13.56 MHz lot of 25 units
74 ADTEC AX‐2000EUII‐N RF Generator Novellus 27‐286651‐00 Used Tested Working
75 ADTEC AX‐2000III RF Plasma Generator 27‐307431‐00 Minor Dent Used Tested Working
76 ADTEC AX‐5000W‐AKT RF Generator, AX‐5000W, 5000W 13.56 MHZ
77 ADTEC AX‐5000W‐AKT RF Generator, AX‐5000W, 5000W 13.56 MHZ.
78 ADTEC AXR‐2000III RF Plasma Generator Novellus 27‐360919‐00
79 Adtec Plasma Technology TR‐3000‐EI3‐MT TR Series 3000W 13.56MHz RF Generator
80 Adtec RF Match Unit AMU‐2000KG‐PML‐ALPHA
81 ADTec TR‐2000‐EI1‐MT RF Generator, 2kW, 13.56MHz
82 Adtec TS‐30 RF Plasma Generator 30,000W / 30kW @ 13.56MHz For Sputtering Source
83 AD‐TEC TX10‐F090‐09‐J RF Generator, TX Series, 1000W, 452796
84 Adtec? Lamb? 27MHZ RF Match Unit AMV‐2000IV3 
85 Advance Energy PDW 2200 RF Generator
86 ADVANCE HI‐VOLT VEI9007850 / POWER MODULE SERIES 3000 OL/3000/353/02 / ADVANCE HI‐VOLT
87 ADVANCED 3152352‐123B ENERGY PINNACLE GENERATOR
88 Advanced Controls TruDril 2500 ‐ 5 Spindle Machine
89 Advanced Energy (61300044) Cesar 600W
90 Advanced Energy (AE) MDX‐10 MASTER POWER SUPPLY 3152012‐041, MDX‐052 REMOTE
91 Advanced Energy 1110‐00058, Advanced Energy, 3155031‐037A, RF BIAS MATCH
92 Advanced Energy 12KW Pinnacle 3152363‐004A Power Supply w/ 4 Month Warranty
93 ADVANCED ENERGY 2011‐014‐01 RF GENERATOR POWER SUPPLY
94 Advanced Energy 260,000 Watt Photovoltaic Inverter
95 ADVANCED ENERGY 27‐034212‐00 3155038‐005B RFG 3000 POWER SUPPLY
96 ADVANCED ENERGY 27‐034212‐00, 3155038‐005B /RFG 3000 POWER SUPPLY / ADVANCED ENERGY
97 Advanced Energy 3150110‐000 RF Match, 24 VDC, 3000 Watts, 13.56MHz
98 Advanced Energy 3150110‐000 RF Match, 24 VDC, 3000 Watts, 13.56MHz
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 3 sales@semistarcorp.com
99 Advanced Energy 3150852‐004 RF Generator, VHF 2060, 208VAC 
100
ADVANCED ENERGY 3151200‐000 / REV B ION SOURCE SUPPLY 44152‐00 / ADVANCED ENERGY 
GENUS
101 Advanced Energy 3151801‐003 Rapid RPS Remote Plasma Source AMAT 0190‐08638 AE
102 ADVANCED ENERGY 3152272‐000 / MDX‐L12 POWER SUPPLY 0190‐70086 / ADVANCED ENERGY
103
ADVANCED ENERGY 3152313‐001A / POWER SUPPLY, DC 12KW W/CE MARK; MDX‐L12M / 
ADVANCED ENERGY
104 ADVANCED ENERGY 3152326‐000 F PINNACLE DC MAGNETRON POWER SUPPLY
105 Advanced Energy 3152326‐000B Pinnacle 400V 22A 3PH High Voltage Power Supply
106 Advanced Energy 3152326‐000C Pinnacle 400V 22A 3PH High Voltage Power Supply
107 Advanced Energy 3152326‐000D Pinnacle 400V 22A 3PH High Voltage Power Supply
108 Advanced Energy 3152330‐003B Sparc‐le V
109 Advanced Energy 3152352 MDX RF Power Supply with Metalizer
110 ADVANCED ENERGY 3152412‐119 / DC POWER SUPPLY PINNACLE / ADVANCED ENERGY
111 Advanced Energy 3152412‐233 20kW Pinnacle Power Supply Manufacturer Refurbished
112
ADVANCED ENERGY 3152603‐005 / E‐WAVE RF GENERATOR,3‐CHANNEL, 27‐260607‐00N / 
ADVANCED ENERGY
113
ADVANCED ENERGY 3152603‐018 / E‐WAVE 2 CHANNEL POWER SUPPLY 40A 48V / ADVANCED 
ENERGY
114 ADVANCED ENERGY 3153107‐000D / E‐CHECK CE IKV INVERTER PCB / ADVANCED ENERGY
115
ADVANCED ENERGY 3155027‐003D / RFG 1250, 660‐024637‐003 WITH CEX CONN. / ADVANCED 
ENERGY
116 ADVANCED ENERGY 3155027‐005 C , 660‐024637‐013/ RFG 1250 HALO POWER SUPPLY
117 Advanced Energy 3155031‐011B AZX90 RF Match Network AE AMAT 8330 Plasma Etch
118 ADVANCED ENERGY 3155038‐004A /RFG 3000 POWER SUPPLY
119 ADVANCED ENERGY 3155038‐004A/ RFG‐3000 / ADVANCED ENERGY
120 ADVANCED ENERGY 3155038‐004C / RFG‐3000 RF GENERATOR (EATON 1908570)
121
ADVANCED ENERGY 3155051‐010C / RF GENERATOR 13.6KV, RFG‐5500 10.0KW / ADVANCED 
ENERGY
122 Advanced Energy 3155082‐000 RFX600A RF Generator 600W 13.56 MHz
123 Advanced Energy 3155082‐331 RFX600A RF Generator 600W 13.56 MHz 
124 Advanced Energy 3155162‐036 3013 L70ZA HV & HR Source 1006721 Navigator
125
ADVANCED ENERGY 3155168‐001 / RF NAVIGATOR +24V, +24VRET AMAT 0190‐15206‐001/ 
ADVANCED ENERGY
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 4 sales@semistarcorp.com
126 ADVANCED ENERGY 3156110‐013 / GENERATOR LAM 2300 (KIYO CHAMBER) / ADVANCED ENERGY
127 Advanced Energy 3156115‐205 APEX 5513 RF Generator A3F8L000BA114R011S
128 Advanced Energy 3156330‐031 A 3013 Paramount RF Generator, 3000W, 13.56MHz 
129 ADVANCED ENERGY 5017‐000‐K RF AUTOTUNNER & CONTROL M# 5022‐000‐E
130 advanced energy 60MHz power supply
131 Advanced Energy 660‐088884‐101 RF Generator, 3156310‐011, AE, 
132 Advanced Energy 660‐243024‐005 RF Generator, 3156330‐261, AE,
133 Advanced Energy 660‐244566‐005 RF Generator, 3156330‐262, AE, 
134 Advanced Energy 660‐244566‐006‐A RF Generator, 3156330‐262, AE,
135 Advanced Energy 660‐244566‐120 RF Generator, 3156330‐266, AE,
136 Advanced Energy 832‐078421‐006B RF Match 3150309‐006 Rev A 
137 Advanced Energy AE 3150273‐005, RF Match, Mercury 10013, Novellus.
138 Advanced Energy AE 3150861‐002 VHF Ovation 35162 3.5kW 162MHz RF Generator
139 Advanced Energy AE 3152470‐100 E Pinnacle 3000 Power Supply, RF,
140 Advanced Energy AE 3152470‐100 Pinnacle 3000 Power Supply, RF Generator,
141 Advanced Energy AE 31550260‐063 Paramount Plus VHF 6060 RF Power Delivery System
142 Advanced Energy AE 3155083‐109 HFV 8000 RF Generator, AMAT 0190‐01873,
143 Advanced Energy AE 3155083‐109 HFV 8000 RF Generator, AMAT 0190‐01873, 
144 Advanced Energy AE 3155083‐505 HFV 8000 VARIABLE FREQUENCY GENERATOR, 400/8 VAC
145 Advanced Energy AE 3156011‐002 PDW 2200 RF Generator, LAM 853‐015516‐001,
146 Advanced Energy AE 5034‐003‐B RF Matching Network, MFA Heatsink,
147 Advanced Energy AE A3L1A000BA110A010A Apex 5513 RF Generator, 5.5 kW, 13.56 MHz
148 Advanced Energy AE A3L1A000BA110A010A Apex 5513 RF Generator, 5.5 kW, 13.56 MHz
149 Advanced Energy AE Ascent AMS 30K / 30kW DC Sputtering Power Supply 31520003‐100
150 advanced energy AE MDX 2.5 2500w dc sputtering power supply single phase amat
151 Advanced Energy AE PDX 8000 Power Supply: 3156048‐100
152 ADVANCED ENERGY AE PHOTOVOLTAIC INVERTER (#1419)
153 Advanced Energy AE Pinnacle 20kW 480V DC Power Supply 3152412‐264 0190‐25692
154 Advanced Energy AE Pinnacle 20kW 480V DC Power Supply 3152412‐264 0190‐25692
155 Advanced Energy AE RFX 1250 RF 13.56 MHz Power Generator
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 5 sales@semistarcorp.com
156 Advanced Energy AE RFX 600A 1kW 13.56MHz RF Power Supply/Generator 3155082‐331 A
157 Advanced Energy AE RFX 600A 1kW 13.56MHz RF Power Supply/Generator 3155082‐331 D
158 ADVANCED ENERGY AMAT DC POWER SUPPLY 3152412‐411 20KW PINNACLE
159 Advanced Energy APEX 10 Kw/13 RF Generator ‐ AS IS
160 Advanced Energy Apex 10KW / 13 RF Generator A3H2C200DA130E112D ‐ AS IS
161 Advanced Energy Apex 1513 1.5kW 13.56MHz RF Generator
162 Advanced Energy Apex 1513 RF Generator (315611‐014, 208V 1.5kW 13.56MHz)
163 Advanced Energy Apex 1513 RF Generator, 1.5kW, 13.56 MHz, ~ 374 V,
164 Advanced Energy APEX 3513 RF Generator A3M5K000EA120B001A Rev A 
165 Advanced Energy APEX 3513 RF Generator A3M5K000EA120B001A Rev H
166 Advanced Energy ASTRAL bipolar pulsed dc power supply 1000v WITH pinnacle source
167 Advanced Energy AZX90 (M/N: 3155031‐004 C)RF Matching Network
168 Advanced Energy Industries Inc. AE Repair Generator HF APEX 3156116‐003
169 Advanced Energy LF‐10A, 3150010‐001G, 0190‐70100W‐001 wPWRSP RF Generator
170 Advanced Energy LM II 10K RF HV High Voltage Transformer 3152008‐003 AE PE II
171 ADVANCED ENERGY MDX 10 MASTER 3152012‐041AB
172 Advanced Energy MDX 3152011‐000 M RF Power Supply *S8
173 Advanced Energy MDX L12M Power Supply
174 ADVANCED ENERGY MDX PINNACLE POWER SUPPLY
175 ADVANCED ENERGY MDX‐10 MASTER POWER SUPPLY 3152012‐041 AB
176 ADVANCED ENERGY MDX‐10 POWER SUPPLY 2011‐052
177 ADVANCED ENERGY MDX‐10K 3152012‐003
178 ADVANCED ENERGY MDX‐10K MODEL QC1200‐RS‐NL
179 Advanced Energy MDX20K (3152194‐005A) LZ Master Delta Magnetron Drive
180 ADVANCED ENERGY MDX‐30K MASTER POWER SUPPLY MDX30K‐MRC
181 Advanced Energy MDX500 DC Sputtering Power Supply 
182 Advanced Energy MDX‐L12‐650 RF Generator
183 Advanced Energy MDXL‐12M, 3152344‐100B, 0190‐76191 Power Supply
184 Advanced Energy MN2150064‐003 RFPP ICP 16L 1600 Watt 40MHz Generator
185 Advanced Energy Navigator 3013 L70ZA 3155162‐036 B 1006721 RF Match 
186 Advanced Energy Navigator 3155162‐046 RF Match 1014116 ()
187 Advanced Energy Navigator 3155193‐002 B 3013 L80ZA Bias Match 
188 Advanced Energy Navigator 3155193‐002 C 3013 L80ZA Bias Match 1006722
189 ADVANCED ENERGY PDW‐2200 / 6011‐002‐A 208V 8.9AMP 3PHASE 3.1KW RF GENERATOR
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 6 sales@semistarcorp.com
190
ADVANCED ENERGY PDX 2500 AE Advanced Energy 27‐293721‐00 MF Generator 3156012‐201 Used 
Tested
191
ADVANCED ENERGY PDX 2500 AE Advanced Energy 27‐293721‐00 MF Generator Used Tested 
Working
192 Advanced Energy PDX 2500 MF Generator
193 Advanced Energy PDX 3000 MF 3 KW High Freq Generator 3150311‐000 ‐27‐368299‐00
194 Advanced Energy PE II 10K RF Power Supply Generator 3157600‐003 N
195 Advanced Energy PE II 10K RF Power Supply Generator 3157600‐004 W
196 ADVANCED ENERGY PINNACLE 3152417‐228A RF GENERATOR
197 Advanced Energy Pinnacle 8K Power Supply 3152352‐122C
198 Advanced Energy Pinnacle Active Panel 20kW (10+10) Dual Inverter 3152421‐202 A
199 Advanced Energy Pinnacle DC Magnetron Power Supply1
200 ADVANCED ENERGY PINNACLE MDX ‐ 480 V. input 1,500 V. D.C. output. 12 K.V.A.
201 Advanced Energy Pinnacle Plus 10kW 3152436‐358 F Sputtering
202 Advanced Energy Pinnacle Plus Active Panel 10kW 3152442‐104 A Sputtering
203 Advanced Energy Pinnacle Plus Active Panel 10kW 3152442‐104 B Sputtering
204 Advanced Energy RFG 1250 3155027‐000 RF Generator REFURBISHED Assembly
205 Advanced Energy RFG5500
206 Advanced Energy RFPP AM‐30 PMT‐4 3000watt 13.56MHz RF auto‐match MINT 7624105010
207 Advanced Energy RFPP ICP 20P 2000 Watt 27.12MHZ 8511139050
208 ADVANCED ENERGY RFPP RF‐10L 7520713010 1000WATT @ 40.68MHZ POWER SUPPLY
209 Advanced Energy RFPP RF‐30S 13.56MHz RF Generator *MINT* 7520758011 SE095
210 Advanced Energy RFX 600 with ATX 600 M/N 3155002‐022C/3155021‐00B Working pair
211 ADVANCED ENERGY RFX II 3000
212 Advanced Energy Sparc‐le V Pulsing Power Supply Sparc‐le V #4 ‐ 6 mo Warranty
213 Advanced Energy Sparc‐le V Pulsing Power Supply Sparc‐le V #5 ‐ 6 mo Warranty
214 Advanced Energy Sparc‐le V Pulsing Power Supply#7 M/N 3152330‐013
215 ADVANCED ENERGY, AE‐3151801‐001F1‐ REMOTE PLASMA SOURCE
216 Advanced Energy/AE Apex 5513 RF Generator (Part Number: 0190‐11209‐02)
217 Advanced Energy/AE Master (Part Number: 2223‐003‐B)
218 Advanced Microtechnology Optimum 16000 Burn‐In Chamber+
219 Advanced Plasma Solutions APS Platform Desmear Etchback w/Soren match Freight
220 Advanced Thermal Science MX‐20 Temperature Control System
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 7 sales@semistarcorp.com
221 ADVANCED THERMAL SCIENCES ATS BE AEROSPACE CHILLER MP‐20Y‐GL MP20Y‐GL M‐PAK
222 Advanet Advme7510 SBC Single Board Computer PCB Card Nikon NSR‐S620D Used
223 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015‐492 FOC‐FP Used
224 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015‐493 FOC‐CP Used
225 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015‐494 FOC‐CP2
226 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015‐495 BodySP Used
227 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015‐492 FOC‐FP
228 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015‐493 FOC‐CP
229 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015‐494 FOC‐CP2
230 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015‐495 BodySP
231 Advanet AGpci7508 SBC Single Board Computer PCB Card Nikon 4S015‐496 FPC Used
232 Advanet AGpci7508 SBC Single Board Computer PCB Card Nikon 4S015‐497 KH‐SP Used
233 Advanet AGpci7508 SBC Single Board Computer PCB Card Nikon 4S015‐497 Spare
234 Advanet AGPCi8012 CompactPCI Single Board Computer PCB Card TEL Lithius Used
235 Advantest Agilent Verigy E6978‐69519 Clock Board for 93000 Tester, Ref #39527
236 ADVANTEST BGR‐018822 / BOARD, VIO IFA (T5365) / ADVANTEST
237 ADVANTEST BGR‐026902 /32CH DC (DPU) T5377/ ADVANTEST
238 Advantest BLS‐014873 PLS‐81487388 T6671E Probe Card Performance Card Memory Test
239 Advantest BPS‐030208 Liquid Cooled Processor PCB Card T2000 Module w/Case Used
240 Advantest H4‐410004 BLD‐024486 Programmable Logic Control Vexta A6376‐044 Used
241 ADVANTEST H4‐4135 / PRGM. LOGIC CONTROL VEXTRA W/4‐2 PHASE DRIVES 
242 ADVANTEST H4‐4137 / PRGM. LOGIC CONTROL VEXTRA / ADVANTEST
243 ADVANTEST H4‐7047 / M6751AD 250V AC‐24V DC POWER SUPPLY / ADVANTEST
244 Advantest T2000 SoC Test System
245 ADVANTEST T5781ES MEMORY TEST SYSTEM
246 ADWILL RAD 2000 M/8 / LINTEC UV IRRADIATION SYSTEM FOR DICING TAPES / ADWILL
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 8 sales@semistarcorp.com
247 AE 2kW APEX 2013 RF Generator Advanced Energy 3156113‐024 LAM TCP 660‐063437‐003
248 AE 3150275‐007 4MHz Bias RF Match 2.5kW RFPP Advanced Energy 660‐095275‐005 LAM
249 AE 3155086‐102, MATCH RF 12.56MH 2.5KW AZX 90 DOME, SUB, AMAT 1110‐01063
250 AE 3155086‐102A Advanced Energy Dome RF Match AMAT 1110‐01063 Applied Materials
251 AE 3159273‐005 Mercury 10013 RF Match, Novellus 27‐256558‐00
252 AE 5.5kW APEX 5513 RF Generator Advanced Energy A3F2L000BA110R011A CEX F47
253 AE Advanced Energy  RF Navigator AE Advanced Energy 3155126‐011 A RF Match Network 
254
AE Advanced Energy  RF Power Products Advanced Energy RFPP ICP 20P 2000 Watt 27Mhz Plasma 
Generator
255 AE Advanced Energy 3152422‐110V MDX Pinnacle DC Power Supply (tested working)
256 AE Advanced Energy 3155069‐103 A RF Countermatch
257 AE Advanced Energy 3155162‐037C matching network 10kw 13.56mhz Navigator 10013
258 AE Advanced Energy 3156115‐204 APEX 5513 RF Generator 1011031 (Tested Working)
259 AE Advanced Energy APEX 2013 660‐063437‐003 RF Generator 3156113‐024 B (working)
260 AE Advanced Energy E‐Wave 2 Channel Power Supply MN 3152603‐018 PN 27‐390880‐00
261 AE ADVANCED ENERGY E'WAVE 3152603‐001A GENERATOR
262 AE ADVANCED ENERGY E'WAVE 3152603‐014 GENERATOR
263 AE ADVANCED ENERGY HFG 2501 GENERATOR 3155090‐000 B
264 AE Advanced Energy PE II 3157600‐004 10K RF Power Supply Generator
265 AE ADVANCED ENERGY PINNACLE 20kW GENERATOR M/N 3152412‐233
266 AE Advanced Energy RFPP 7520758010 / RF‐30SWC RF GENERATOR / RFPP
267
AE Advanced Energy RFPP 7620620010 RF Match, AM‐30TFT, 3000 Watts 13.56MHZ 115 VAC, 
50/60Hz, 423420
268
AE Advanced Energy RFPP 7621104010 RF Match, AM‐20 PTI, 2000 Watts, 13.56MHz, 115 Volts, 
423403
269
AE Advanced Energy RFPP 7622388010 RF Match, AMAT 0190‐66253, 3000 Watts, 13.56MHz, 
115VAC, 423361
270
AE Advanced Energy RFPP Advanced Energy RF‐20H RF Generator, 2000W 13.56 Mhz (used tested 
working)
271
AE Advanced Energy RFPP AE Advanced Energy ‐ 750000004 RF20P 2000W 3PH 208V 50/60Hz Power 
Supply
272
AE Advanced Energy RFPP AE Advanced Energy RF20P 2000W 3PH 208V 50/60Hz Power Supply ‐ 
7522365011
273
AE Advanced Energy RFPP AE Advanced Energy RF25M 208V 3PH Power Supply 7521968010 660‐
093818‐002E3
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 9 sales@semistarcorp.com
274
AE Advanced Energy RFPP AE Advanced Energy RF25M 208V Power Supply ‐ 7521968010 ‐ 660‐
093818‐002E3
275
AE Advanced Energy RFPP AE Advanced Energy RF25M 2500W Power Supply ‐ 7521968010 ‐ 660‐
093818‐002
276
AE Advanced Energy RFPP AE Advanced Energy RF25M 2500W Power Supply ‐ 7521968050 ‐ 660‐
096650‐002E1
277
AE Advanced Energy RFPP AE Advanced Energy RF25M 2500W Power Supply ‐ 7521968050 ‐ 660‐
096650‐002E3
278
AE Advanced Energy RFPP AE Advanced Energy RF25M Power Supply 7521968010 660‐093818‐002 
208V/2500W
279
AE Advanced Energy RFPP AE Advanced Energy RF25MWC 2500W Power Supply ‐ 7521968010 ‐ 660‐
093818‐002
280
AE Advanced Energy RFPP AM‐10L AUTO MATCHING NETWORK 1000 WATTS @ 40.68 MHZ RF 
POWER SUPPLY
281
AE Advanced Energy RFPP RF Power Products ‐ RF30S ‐ 13.56 MHz RF Power Amplifier Generator 
NN35
282
AE Advanced Energy RFPP RF Power Products 7610807010 AE Advanced Energy RFPP AM‐10 
Automatching Network.
283 AE Advanced Energy RFPP RF Power Products Hercules 15013 RF Generator 15kW @ 13.56MHz
284 AE Advanced Energy RFPP RF Power Products Hercules 15013 RF Generator 15kW @ 13.56MHz
285
AE Advanced Energy RFPP RF POWER PRODUCTS LF‐30 RF GENERATOR MODEL 27‐032‐578‐00 
7521220051
286 AE Advanced Energy RFPP RF Power Products RF30S Watercooled Generator AE Advanced Energy
287
AE Advanced Energy RFPP RF‐20H 7500000002 SE#100 Watercooled RF Power Generator 2000W 
13.56MHz
288 AE Advanced Energy RFPP RF20P 2000W 3PH 208‐240VAC 50/60HZ POWER SUPPLY
289 AE Advanced Energy RFPP RF20R
290
AE Advanced Energy RFPP RF‐30 H RF‐30SWC 7522170011 RF Generator 660‐093816‐001 3KW 13.56 
(working)
291
AE Advanced Energy RFPP RF30H RF Generator 7522170170 3000W 208V 13.56MHz (used tested 
working)
292 AE Advanced Energy RFPP RF5S 500W RF Generator AE 13.56 Power Supply Plasma
293 AE APEX 1513 Advanced Energy 3156110‐008 LAM 660‐032596‐023 RF Generator TCP
294 AE APEX 1513 Advanced Energy 3156110‐213 LAM 660‐032596‐213 RF Generator TCP
295 AE APEX 3013 , 3kw 13.56 mhz generator
296 AE APEX 3013 RF Generator Advanced Energy 3156114‐003 AMAT 0920‐00107 RF Match
297 AE APEX 3513 RF Generator Advanced Energy A3M5K000EA120B001A AMAT 0920‐00051
298 AE Apex 5500/13 RF Power Generator / P/N : A3F1A060GA110A000A
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 10 sales@semistarcorp.com
299 AE Apex 5513 Advanced Energy Generator
300 AE Cesar 136 RF Generator 61300047 Advanced Energy 600W Power Supply Dressler
301 AE Dressler Cesar 136 RF Generator Advanced Energy 600W 13.56MHz Power Supply
302 AE Dressler Cesar 136 RF Generator Advanced Energy 600W 13.56MHz Power Supply
303 AE MDX‐L6 Advanced Energy 3152317‐000B DC Power Supply AMAT Applied Materials
304 AE MERCURY 10013 AE Advanced Energy 27‐256558‐00 RF Match 3150273‐004 A Used
305 AE Navigator 13.56MHz 8KVP 85A 0190‐19023‐001 / RF MATCH 3155132‐004 B
306 AE Paramount 3013 Advanced Energy 3156330‐015 AMAT 0190‐33822‐002 RF Generator
307 AE PARAMOUNT PLUS VHF 3027
308 AE PDX‐8000 RF Generator / Refurbished with 60 days warranty
309 AE Pinnacle 3000 Magnetron DC Power Supply Advanced Energy 3152470‐100 PNCL 3kw
310 AE Pulsed Plasma PEP‐2500 bipolar dc rf sputter power s
311 AE Repair GEN HF APEX‐053 FW Upgrade 3156115‐253
312 AE RFG 3000 RF Generator / P/N: 3155038‐001 / Refurbished with 60days warranty
313 AE RFPP Advanced Energy RF25M Power Supply 208V‐ 7521968050 ‐ 660‐096650‐002E1
314 AE RFPP Advanced Energy RF25M Power Supply 2500W‐ 7521968050 ‐ 660‐096650‐002E1
315 AE RFX 600A RF Generator 3155082‐200 Advanced Energy Power Supply RFX600A
316 Aera FCP1981CBAXDIAA MULTI ‐ 7 ‐ PI 980 SERIES MASS FLOW CONTROLLER
317 Aerosol Particle Counting System Particle Measuring Lasair 1001‐(11) 
318 Aerosol Particle Counting System Particle Measuring Systems Lasair 1001‐(8)
319 Aerosol Particle Counting System Particle Measuring Systems Lasair 510‐(6) 
320 AES SD‐302 Temperature Chamber (‐65°C to + 180°C) ‐ 2 Cu.Ft.
321 AES SD‐305 Temperature Chamber (‐65°C to + 180°C) ‐ 5 Cu.Ft.
322 Aetrium 301580 Assembly Parts 5050S IC Handler 
323 Aetrium 5050T IC Test Handler, Working, Auto‐Loader, TSSOP 173 mil Kit
324 Aetrium 5050T IC Test Handler, Working, Auto‐Loader/Unloader, TSSOP 173 mil Kit
325 AFFINITY 21736 / PWD‐020K‐CE70CBD CHILLER 
326 Affinity 35891 Chiller Heat Exchanger, PAB‐020T‐DD44CBD2, CH6000‐HF
327 AFFINITY 900‐32840‐000 /CHILLER, AFFINITY RAA‐012K‐CE55CBC4 / AFFINITY
328 AFFINITY CHILLERS 30224 / PUMP, T51M SS / LYDALL INDUSTRIES 
329 AFFINITY CWA‐200L‐HE‐3LP Chiller
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 11 sales@semistarcorp.com
330 Affinity FAE‐121L‐EE10CAD4 Air Cooled Recirculating Chiller4
331 Affinity FWE‐005J‐CD41CB 20566 Air Cooled Chiller **
332 AG Associates  HL / SI‐RING, BACK RAD, 8 / STEAG ELECTRONIC SYSTEMS, INC
333 AG ASSOCIATES 410
334 AG Associates 4100/8100/8800 6" Susceptor New Old Stock Lid and Base
335 AG Associates 600059‐06 / PCB, T/C AMPLIFIER / AG ASSOCIATES
336
AG Associates 7100‐5999‐01C / SLIP RING SET RTP ASSY SF CRMSHLD NOTCH 8IN, / STEAG 
ELECTRONIC
337 AG Associates 7310‐2841‐02N / TUBE ISOLATION W/LINER, FOR AG HEAT PULSE 8800 810 / METRON
338 AG Associates Heatpulse 210 RTP Rapid Thermal Processor
339 AG Associates Heatpulse 210‐03 Laboratory RTP Rapid Thermal Processor 100mm
340 AG Associates Heatpulse 410 Rapid Thermal Processor Heat Pulse
341 AG Associates Heatpulse 410 RTP Rapid Thermal Processor
342 AG ASSOCIATES RAPID THERMAL ANEALER
343 AG ASSOCIATION HEAT PULSE 8800 OXYGEN ANALYZER
344 AGA‐50B2 / GENERATOR DGP‐120A2‐V DC POWER SUPPLY / DAIHEN
345 AGC ELECTRONIC AMERICA GP1104 SIC BAFFLE HOLDER‐ Silicon Nitride
346 AGC ELECTRONICS AMERICA GP10080‐C01 / COVER,PEDESTAL,SILICON CARBIDE
347 AGILENT  5517D‐C16 / HP AGILENT LASER SEE WATT OUTPUT / AGILENT TECHNOLOGIES, INC
348 Agilent ‐ Keysight B1500A Semiconductor Device Analyzer
349 Agilent (Keysight) HP 3173‐II (HP 3070) Series II HP3070 HP3713 307x hp307x
350 Agilent 10898‐68002 Dual Laser Axis PCB 10898A VME NSR‐S307E 
351 Agilent 4022.471.78842 WIPA PPCA VMEbus PCB Card ASML New Surplus
352 AGILENT 81642A OPT 072 1510 to 1640 nm High Power Tunable Laser Module
353 AGILENT 8164B Lightwave Measurement System Mainframe4
354 AGILENT E6978‐26592 E6978‐66492 Verigy Advantest Board for 9300 Tester
355 Agilent E7085‐64400 Temperiertechnik SCO1/16‐40 Chiller
356 Agilent E7085‐64400 Temperiertechnik SCO1/16‐40 Chiller
357 Agilent SCO1/16‐40, P/N E7085‐64400 Cooler for Agilent Versatest/Advantest SOC
358 AGILENT TECHNOLOGIES, INC 8698938R001 / TV 701 SEM TURBO PUMP 54VAC 715HZ 350W
359 Agilent Technologies, Varian HS‐20 Diffusion Pump Housing
360 Agilent U1091AC50 U1051A Acqiris CC105 TC890 PXI 8570 Time‐to‐Digital Converter
361 Agilent varian ts600 scroll dry vacuum pump module anest iwata edwards
362 Agilent Varian VHS‐10 Diffusion Pump47
363 AGILENT VARIAN VSM301 HELIUM LEAK DETECTOR 
364 AGILENT VARIAN VSM301 MASS SPEC HELIUM LEAK DETECTOR2
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 12 sales@semistarcorp.com
365 Agilent Verigy E9685‐61051 AWG with Cable, Analog Waveform Generator, Ref #40473
366 Agilent/Varian VS MR15 Portable Helium Leak Detector w/DS302 Pump VSMR151
367 AGL‐Astex MICROWAVE POWER SOURCE RACKMOUNT WITH POWER CORD
368 AGSW999B / SEMVISION CX E‐GUN ASSY VARIAN DIODE / APPLIED MATERIALS AMAT
369 AHL / HOT PLATE DNS SK‐2000 / DNS DAI NIPPON SCREEN
370 Aii ROBO4.11 TPHD Wafer Rotary Single Sided 16" Wet Lapping System +6 Lap Wheels
371 Air Control Inc Microvoid FH‐45D‐10 Polypropylene Acid Fume Hood
372 AIR PRODUCTS 179700 / GASGUARD AP10 CONTROLLER 200033107
373 Air Products 46635 2Cyl Manifold AXO‐AP1510SHM‐HBr HCl
374 Air Products 801 Automated VMB (Valve Manifold Box) HBR Hydrogen Bromide (NEW)
375 AIR PRODUCTS 805‐470820903A , GASGUARD AP3 VEECO INSTRUMENTS IBD‐DS
376 Air Products AP11 3 bottle methane, methane, N2 purge, new cabinet, never used.
377 Air Products Gasguard 250 3%H2/N2 gas cabinet Automated 807 dual panel (NEW)
378 Air Products Gasguard 250 CHF3 gas cabinet Automated 807 dual panel (NEW)
379 Air Products Gasguard 250 SF6 gas cabinet Automated 807 dual panel (NEW)
380 Air Products Schumacher ATCS‐15‐TLC absolute bubbler trans lc, used,as removed
381 Air Scrubber ‐ Viron International
382 Air Scrubber ‐ Viron International
383 AIRCO CV‐8* E‐Beam Evaporator, Power Supply, Leybold Control XTM/2, Vacuum, plus
384 AIRCO TEMESCAL CL‐2A power supply
385 Airco Temescal CV‐14 E‐Beam Electron Beam Power Supply CV14
386 AIRCO TEMESCAL CV8 POWER SUPPLY
387 AIRCO TEMESCAL HRS 2550 SPUTTERING SYSTEM
388 Airgas Engineering Solutions Cabinet
389 AIRPRODUCTS GASGAURD AP10
390 AirProducts Schumacher ATCS‐15 absolute bubbler pocl3 up to 30C,used, as removed
391 AIRSENTRY SYSTEM PARTICLE MEASURING SYSTEMS INC 8590114130
392 Air‐Vac DRS‐20 BGA Rework Station
393 Airvac PCBRM 12 soldering pot for rework
394 Air‐Vac PCBRM‐12 Solder Fountain Through hole rework
395 AITEC CORPORATION VACUUM WAFER TRANSFER ROBOT AR‐WV300
396 Aixtron 100035645 41011232 Trijet Flange
397 Aixtron 10070371 19‐Inch 8K DN2 Telemetry Stationary Unit
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 13 sales@semistarcorp.com
398 AIXTRON 35103‐00 REV.9 CHEMTRACE AIN, HEATER, PURGED ATM S/N 609401
399 AIXTRON 35103‐00 REV.9 CHEMTRACE AIN, HEATER, PURGED ATM S/N 609401
400 Aixtron 40015295 Tri‐Jet Top Plate Adapter Flange
401 AIXTRON GENUS 100028412 GAS BOX AUX TMA RAD 38850‐00 R.2 110002478 S/N 44186‐01
402 AIXTRON GENUS METRON AIN, HEATER ASSY 42075‐00‐004 S/N 628502
403 Aixtron Semiconductor Part ‐ ALD Lid 300mm W/ Flow Ring Mtg Holes
404 AJA Int. Platinum Sputtering Target 99.95% Pure 1.5" Diameter x 0.125" Thick
405 AJA Platinum Sputtering Target 99.95% Pure 1.5" Diameter x 0.125" Thick
406
AKRION / VERTEQ 1096737.3.018 / DUAL FREQ GEN (1084117.18) STG01/17 ONLY / AKRION / 
VERTEQ
407 AKRION 110052801 / ROBOT SLIDE FOR HAUSER ROBOT WET SINK (WITH MOTOR) / AKRION
408 AKRION 3270381A‐01/MCS‐E BASE UNIT W/SOLE (UPGRADE) (3270351A)
409 AKS Cutting Systems ACCU‐Kut Model P1560 Plasma Cutter Fabrication
410 ALCATEL 2063C2 VACUUM PUMP
411 ALCATEL 2063CP+ WITH 301 BLOWER PACKAGE REBUILT
412 Alcatel 5900 CP Turbo Pump
413 ALCATEL A300P / ALCATEL IPUP COMPACT PUMPING SYSTEM/ ALCATEL VACUUM PRODUCTS
414 Alcatel Adixen ACP15 Dry Vacuum Pump / Extremely Clean ‐ 4 month warranty
415 Alcatel Adixen AD70KL Dry Vacuum Pump Blower Package 480 V Combination
416 ALCATEL ADIXEN IPUP2 VACUUM PUMP ADP103
417 Alcatel Annecy S.Holder 050483 Sairem BF CE 00757 RexRoth 0 822 123 007 58907
418 ALCATEL ASM 180T HELIUM LEAK DETECTOR Pascal Pfeiffer vacuum pump 1227‐DMI
419 ALCATEL ASM‐180TD Compact High Sensitivity Dry Helium Leak Detector62
420 Alcatel ASM‐181TD Dry Helium Leak Detector33
421 Alcatel ATH 1000 M Turbo Pump w/ Alcatel ACT 1000 M Controller
422 Alcatel ATH‐500M Turbo Pump, Rebuilt by Provac Sales, Inc.
423 Alcatel ATP 80 Turbo pumping station
424 Alcatel TH 1000M Turbo Pump
425 Alcatel/Adixen ATH 2300M Maglev Hybrid Turbo Vacuum Pump DN 250 ISO‐F, 22,000 ls
426 Alcatel/Adixen ATH 2303M Hybrid Magnetically Turbo Pump w/Water Cooling System
427 Alessi / Bausch & Lomb MicroZoom Manual Analytical Probe Station Microscope
428 ALESSI / BAUSCH & LOMB REL‐ 4100A MANUAL ANALYTICAL PROBE STATION MICROSCOPE
429 Alessi Cascade RHM‐06 probe station
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 14 sales@semistarcorp.com
430 Alessi Manual Probe Station Model REL 4100A ‐ 14 Day Right of Return
431 Alessi Manual Wafer Prober Bausch & Lomb Kinetic Vibraplane 1201‐21‐11
432 Alessi REL 3200
433 ALESSI REL 3200 PROBE STATION
434 ALESSI REL 4100 6" PROBE STATION
435 Alessi REL 4500 Probe Station (2MANIPULATORS INCLUDED)
436 Alessi REL‐4500 150mm Manual Analytical Prober6
437 Aline SC‐680‐LR‐D Vacuum Sealer
438 ALLEN‐BRADLEY 1785‐BEM/B BACKUP EXPANSION MODULE
439 ALLEN‐BRADLEY 2711‐T10C9L1 / PANELVIEW 1000, TOUCHSCREEN, COLOR, 24V / ALLEN‐BRADLEY
440 Allesi REL‐3200A Mitutoyo Polarizing Microscope 6" Wafer Inspection Station Part
441 Allied High Tech Products MULTIPREP
442 Allied Motion (Emoteq) SEMITOOL MOTOR (Part #: HT02001‐D03‐HE / T61105‐30)
443 Allied Motion (Emoteq) SEMITOOL MOTOR (Part #: T61105‐30 | HT02001‐D03‐HE)
444 Allied VacuPrep Epoxy Impregnation System, Model: 175‐30000 w/ Mounting Cups
445 ALLSTEEL 1/4‐10 MAX, 1/4" x 10' Fabrication Shear, Ref # 7795791
446 Allwin21 AccuThermo AW 610 Rapid Thermal Process System O2/N2 208V 3P 50A AW610
447 ALPHA METALS 500M Omega Meter ‐ Ionagraph
448 ALPHA METALS OMEGA METER 500M IONIC CONTAMINATION TESTER
449 Alpha Metals/SCS 500M SMD Ionic Contamination Ionograph 12" x 14" PCB 2.7 gal
450 ALPHATEK ADVANCED POLYMER ANALYZER APA 2000 / ALPHATEK
451 ALUMINUM VACUUM CHAMBER 30" X 30" X 40" ID TERRA UNIVERSAL 1590‐60‐49184
452 Alvord Systems ASI XF‐2B Portable Vertical X‐Ray Diffraction System XF‐2
453 Amada 2011 Amada LC3015 F1NT, 5x10, 4KW, Laser  8068182
454 Amada 2017 Amada ENSIS 3015 AJ 3kw 5' x 10' Fiber Laser Fabrication
455 amada HS98 board 71341688 for amada laser
456 AMAT  1110‐01046; APPLIED MATERIALS,NETWORK12.56MHZ 2.5KW AZX 90 DOME R AE 3155086
457 AMAT  1110‐01068 RMN‐40A / NTWRK MATCH RF 12.56MHZ 4KW AUTO‐IMPEDAN / AMAT DAIHEN
458 AMAT  ASSY BEFFER BLADE HP PLUS HTHU 200MM, 0010‐75512
459 AMAT  ASSY MEMORY BD / APPLIED MATERIALS AMAT
460 AMAT  MOTOR DRIVER PCB SCHEM 681720 / APPLIED MATERIALS AMAT
461 AMAT # 0040‐20010 STANDARD BODY PVD PROCESS CHAMBER
462 AMAT 0010‐00304, GRIPPER ASSY 125MM
463 AMAT 0010‐01029 Cap Assy, Poly‐Aluminum Upper, 8120, 8130, 2020342
464 Amat 0010‐01315 Sheild Assy 8" Electra IMP
465 AMAT 0010‐01994 Rev.001, Magnet Assembly, PVD, Endura, Sputter Chamber
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 15 sales@semistarcorp.com
466 AMAT 0010‐02342 Ceramic HEATER, CERMAIC ASSY, 8" DXZ
467 AMAT 0010‐02740, P‐CHUCK ASSY, 150MM, CIP99
468 AMAT 0010‐03002 Assy, Clamp Ring, Lower, 200mm, Pre‐Clean, 0040‐07962, 
469 AMAT 0010‐03338 Assy Heater 8" SR OSCR WXZ w/ 0190‐01403 Thermocouple, 
470 AMAT 0010‐03344 Heater Assy, 8" JLT .029 AMJ WXZ, 0010‐06237,
471 AMAT 0010‐03370 Heater Chuck w/ Alpha 5 Seasoning & Random Surface Finish 
472 AMAT 0010‐03372, WxZ Heater Assy, 8 Inch OSCR Seasoning, 
473 AMAT 0010‐03530 Assembly, Diamond Head Carrier, 
474 AMAT 0010‐03530 Assy, Diamond C Head Carrier, ASM, 2492‐038,
475 AMAT 0010‐04450 ASSY, SNNF 200MM SUB ZERO BESC W/MCA
476 AMAT 0010‐05047 ASSEMBLY, SUSCEPTOR, 200MM, TIC‐CVD.
477 AMAT 0010‐05256 HEATER DxZ 150MM, 6" Manufactured by CRC
478 AMAT 0010‐09540 Chamber Wall, Wafer Transfer, P5000, 0040‐09136,
479 AMAT 0010‐09819 Assembly SUS 125mm, 4mm Thick, T2 BSE, BMCVD,
480 AMAT 0010‐09924 THROTTLE VALVE ASSEMBLY. 
481 AMAT 0010‐09924, Throttle valve assy, dual seal shaft, 
482 AMAT 0010‐09935, MONOCHROMATOR MODULE ASSY, ENDPOINT, PRECISION 5000
483 AMAT 0010‐09940 Assembly 8" WSI Gas Box Feedthru, Top Lid, CVD, P5000, 
484 AMAT 0010‐10521, XYCARB CERAMICS, Thick, Susceptor 8", T1SABB, SABPSG. 
485 AMAT 0010‐10556, MONOCHROMATOR MODULE ASSY, CENTURA
486 AMAT 0010‐10713, Susceptor Assembly, 125mm Wafer, P21, Chamber, 5".
487 AMAT 0010‐10713, Susceptor Assembly, 125mm Wafer, P21, Chamber, 5".
488 AMAT 0010‐10758 Rev.A, DSGD Lid, MXP & MK II Chamber, VCR, Assembly. 
489 AMAT 0010‐11994 HEATER, TxZ 150mm, 6" Manufactured by CRC
490 AMAT 0010‐13268
491 AMAT 0010‐13321 ROBOT DRIVE ASSY 8"
492 AMAT 0010‐15257 Assy, Spring Plate w/GVDE, 200mm, DPN, 0010‐15698 
493 AMAT 0010‐18100 ULTIMA ESC 200mm, 8" salvaged stock
494 AMAT 0010‐20030 Wafer Orienter Lift Assy w/ Chamber Lid, Notch Finder, 
495 Amat 0010‐20221 PVD Magnet Ti 150mm
496 AMAT 0010‐21356 Heater Assy, 8" VCR w/ 2 TCs And Bellows, 0040‐20850, 
497 AMAT 0010‐21356 Heater Assy, 8" VCR w/ 2 TCs And Bellows, 0040‐20850, 
498 AMAT 0010‐21810 Magnet Assembly, G‐12, Encapsulated, Durasource,
499 AMAT 0010‐21810 Magnet Assembly, G‐12, Encapsulated, Durasource, 
500 AMAT 0010‐21810 Magnet Assy, G‐12, Encapsulated Durasource G Type: 
501 AMAT 0010‐21958 8" Shield B101
502 AMAT 0010‐28024 Head Sweep Assembly, NSK XY‐FR‐E131178, 300MM, CMP, LK,
503 AMAT 0010‐30025 Assy Lower Gas Line 
504 AMAT 0010‐30111 8" Heater Assembly Assembly Heater, 8" DXZ Chamber 5500
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 16 sales@semistarcorp.com
505 AMAT 0010‐30418 8" Heater, WXZ, 200m, 
506 Amat 0010‐36631 (0040‐32712) DcSxZ Heater Assy, 200mm
507 AMAT 0010‐36715, EQ Magnet Assembly, 6", 0010‐20675, 
508 AMAT 0010‐39337 Assy, Motor Driver Drawer, 
509 AMAT 0010‐39736 Lid Assy Clamp, 0021‐03277, 0040‐39619,
510 AMAT 0010‐40280 ROOF TOP, SUB‐ASSEMBLY, CGF, DOS
511 AMAT 0010‐70001 P5000 Front Loader Cassette Handler Assy, Wafer Transfer,
512 AMAT 0010‐70403, G‐12 AFS Lid Assy, PVD,
513 AMAT 0010‐70403, G‐12 AFS Lid Assy, PVD, 
514 AMAT 0010‐70792 EMXP+/MXP+ OX, Chamber Lid, Clamp, Chemraz 513, Super E, 
515 AMAT 0010‐70792 EMXP+/MXP+ OX, Chamber Lid, Clamp, Chemraz 513, Super E, 
516 AMAT 0010‐70792 EMXP+/MXP+ OX, Chamber Lid, Clamp, Chemraz 513, Super E, 
517 AMAT 0010‐75278 Casette Assy LLB PA200‐79MDT Corrosion, 
518 AMAT 0010‐92209 Gas Module, SDS, Unit (8160MFC) N20 5 SCCM, 
519 AMAT 0020‐01995 / HY‐11 MAGNET 300 MM ASSEMBLED WITH 0020‐28491 / AMAT
520 AMAT 0020‐07701 Shield Upper Ti TiN Al Flame Spray Endura sputter Chamber 
521 AMAT 0020‐10186, 5000 8" CVD Etch Chamber Lid, 200mm, Gas Box.
522 AMAT 0020‐10811 RING, INSULATOR 150MM EB
523 AMAT 0020‐12396 Top Plate Heater ECP Anneal 300mm, 
524 AMAT 0020‐31510 w/ Pedestal, Rimless, OX/MLR NIT, 200mm, F, 
525 AMAT 0020‐34478 Rev.P6, Chassis, Plate, Throttle, DPS. 
526 Amat 0021‐04921 Coil Electra 1/4" Copper & Under
527 AMAT 0021‐07905 Lower Shield Kit
528 AMAT 0021‐09438 Fan Top Shell, DTCU DPS POLY,
529 AMAT 0021‐09750, Composite Spacer, 6" Semi, Esc, 155mm. 
530 AMAT 0021‐2217, Pump Station, Vari‐Tech PS‐105‐1C54, 1/2 HP, 120VAC, 
531 AMAT 0021‐81247 Faceplate Sequoia APF 300MM Ai CIP 2, Reflectivity & Flow Tester
532 AMAT 0040‐01761 Endura Pre‐clean Chamber Body, Sputter, PVD, 
533 AMAT 0040‐07449 LINER, CHAMBER, DIRECT COOLED, EMAX300MM. 
534 AMAT 0040‐08137‐007 Etch Chamber 300mm ESC Cathode RF Inner Filter Assembly
535 AMAT 0040‐08137‐008 Cathode Inner Filter RF Assembly Etch Chamber 300mm ESC
536 AMAT 0040‐09557 Chamber Body, ASP, Centura, Chamber 5000, 
537 AMAT 0040‐09723 Unibody, Etch Chamber w/ .397 Step, Poly Trench,
538 AMAT 0040‐18024 E‐Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 
539 AMAT 0040‐18024, E‐CHUCK, 200MM, MKA, SNNF, CVD,
540 AMAT 0040‐18053 ULTIMA ESC 200mm, 8" Refurbished by CRC
541 AMAT 0040‐18219 LASED, PEDESTAL, 200MM SNNF SML FLT, USED
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 17 sales@semistarcorp.com
542 AMAT 0040‐31780 Base, Cooling 200MM Cathode, DPS MEC, Base Plate 5200, 
543 AMAT 0040‐32543 REV.P1 10670800‐199‐00202
544 AMAT 0040‐40815 Heater Mounting Plate, 300mm, 
545 AMAT 0040‐41924 ESC ASSY, 200MM SNNF DPS HT CATHODE
546 AMAT 0040‐47677‐018 FACEPLATE DXZ SIN
547 AMAT 0040‐49203 Bowl, 300mm PPR, IEXC,
548 AMAT 0040‐60419, Adapter, Upper, HP SIP SPS Encore 300mm. 
549 AMAT 0040‐61366 Metal Etch Chamber, DI Seal, Edge Gas, MXP+, Mark II, 
550 AMAT 0040‐82368 DPS Chamber, Top Dome Interface Sapacer Liner,
551 AMAT 0040‐91661, Source Bushing, Litharge. 
552 AMAT 0041‐59933 Face Plate, Shower Head, Gas Distribution, 
553 AMAT 0041‐89655 Adapter Head Motor Reflexion LK, 
554 AMAT 0090‐06456 Chamber Controller 0041‐05806, AS00363‐03 PCB 0090‐02527,
555 AMAT 0090‐09298 ESC ASSY,200MM, NOTCH(2),SHWR, THERM
556 AMAT 0090‐36399 ESC 0021‐38725 PEDESTAL
557 AMAT 0100‐01132 Rev.001, VAL‐001‐1633‐01, PCB Assy, Chamber RF Filter.
558 AMAT 0100‐09246 PCB, ASM SYS I/O Dist BD MK2+
559 AMAT 0100‐15049 Assembly, Throttle Valve Dual Spring, Direct D,
560 AMAT 0140‐77747 Harness, Robot X Power, Mainframe Controller, 125', 
561 AMAT 0140‐77747 Harness, Robot x Power, Mainframe‐Controller 125',
562 AMAT 0150‐35305, Cable Assembly Gas Panel, #1 Umbilical Long, Centura. 
563 AMAT 0150‐35305, Cable Assembly Gas Panel, #1 Umbilical Long, Centura. 
564 AMAT 0150‐35565 Cable Assembly Gas Panel #1 Umbilical, 55FT,
565 AMAT 0150‐77048 Cable Assembly, HD Robot Controller BH,
566 AMAT 0150‐77049 Cable Assembly, Digital I/O BP TO
567 AMAT 0150‐77052, Cable Assembly, Drivers ENCODL Control.
568 AMAT 0190‐03150 Target Diff Bond TI w/o C'bore Durasource,
569 AMAT 0190‐03150 Target Diff Bond TI w/o C'bore Durasource, 
570 AMAT 0190‐09237 SUSCEPTOR ASSY T2WLD
571 AMAT 0190‐09491 200mm Durathon Susceptor, SUSC Assembly T2 Welded,
572 AMAT 0190‐10030 Orion Pel Thermo ETN23A‐SC‐B Heat Exchanger
573 Amat 0190‐15322 DAIHEN RMN‐50N1 RF GENERATOR
574 AMAT 0190‐16013 Exhaust Switching Unit TEC‐05 Kit 1 & 2, ESC‐C2‐5‐X7,
575 AMAT 0190‐20140 Target, TI, Diffusion Bonded, 11.3" x 0.46", Praxair MRC,
576 AMAT 0190‐20140 Target, TI, Diffusion Bonded, 11.3" x 0.46", Praxair MRC,
577 AMAT 0190‐20140 Target, TI, Diffusion Bonded, 11.3" x 0.46", Praxair MRC, 
578 AMAT 0190‐20140 Target, TI, Diffusion Bonded, 11.3" x 0.46", Praxair MRC, 
579 AMAT 0190‐21122 Cobalt MZ Endura Target, 06‐08132‐00, 20‐472D‐C0000‐1003,
580 AMAT 0190‐21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC,
581 AMAT 0190‐21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC,
582 AMAT 0190‐21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC, 
583 AMAT 0190‐21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC, 
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 18 sales@semistarcorp.com
584 AMAT 0190‐22090 WAFER LOADER ORIENTER
585 AMAT 0190‐22159
586 AMAT 0190‐35653, SERIPLEX MUX I/O PCB(1),(016H‐047H)
587 AMAT 0190‐35763 Chamber C Seriplex Control Bus, PCB, 0100‐09287, Centura,
588 AMAT 0190-76048
589 AMAT 0190‐77363 Module, Brush Liquid Delivery, Low Flow, 
590 AMAT 0195‐02636 AMPOULE WELDED VALVES 3 LITER SST FLEXSTAR 2G CHAMBER
591 AMAT 0200‐05139 ROBOT BLADE
592 AMAT 0200‐16364, HYT Exhaust Port for WXA Chamber.
593 AMAT 0200‐16478 Robot Blade End Effector, 18.475x5.00x.200THICK, 
594 AMAT 0200‐18024 Silicon, Top Barrier Dome HDPCVD, 
595 AMAT 0200‐18062 Rev.3, Applied Ceramics 91‐01017A, Dome, 14 ID HDPCVD. 
596 AMAT 0200‐20064, 8" PC II QUARTZ INSULATOR
597 AMAT 0200‐35316‐P3 Dome Quartz 4.69" DPS 
598 AMAT 0200‐35527 Heater Ceramic, NGK 200mm
599 AMAT 0200‐35706 003 LINER
600 AMAT 0200‐35706 SHADOW RING
601 AMAT 0200‐40130 COVER PLATE, 200, 12 THK
602 AMAT 0220‐21314, Preclean Chamber, D&H‐SIN 014. 
603 AMAT 0221‐10192 Unilid w/See‐Thru Window (Sapphire), 0020‐33668, Kit,
604 AMAT 0240‐00723 PIK, TEMP CONTROL REMOTE (NESLAB), 
605 AMAT 0240‐26390 Kit, Gamma 2 W/B Chamber Extender, 194mm, 0040‐23486,
606 AMAT 0240‐31726 USG PLIS Pallet w/ Valves and Injectors, Weldment, CH,
607 AMAT 0240‐35314, Kit, Epi or Poly Delivery per Chamber PDC.
608 AMAT 0240‐92743, KIT,INSERT,150MM,0 DEG
609 AMAT 0242‐24101, PIK, NON RF Capable LID, 200mm PMD, PRODU.
610 AMAT 0242‐24101, PIK, NON RF Capable LID, 200mm PMD, PRODU. 
611 AMAT 0242‐76387 Kit, Retrofit, Plastic Bearings, 
612 AMAT 0290‐01016 Ozone Generator, Onoda OR‐4ZA OzoneRex, 92B19‐11, 
613 AMAT 0620‐02619 Cable Assy Robot Signal 18FT,
614 AMAT 0620‐02619 Cable Assy Robot Signal 18FT, 
615 AMAT 0620‐02619, 760J100‐6, Cable Assembly, Robot Signal 18FT.
616 AMAT 0660‐00223, Industrial Panel PC, 15" LCD w/ Touchscreen, Advantech. 
617 AMAT 0660‐00223, Industrial Panel PC, 15" LCD w/ Touchscreen, Advantech. 
618 AMAT 0660‐00223, Industrial Panel PC, 15" LCD w/ Touchscreen, Advantech. 
619 AMAT 0660‐00386, Powell Elec, Card Kit Data Acquisition PCI Nanospec90.
620 AMAT 0660‐01857 CARD PENTIUM 133MHZ 128MB RAM VME BUS D 180 day warranty
621 AMAT 0920‐00149 RFG TRUMPF SSM 3000 EtherCAT  TESTED
622 AMAT 105695 / MP ULTRA ROBOT, ARM, AND WOB SENSOR KIT / AMAT
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 19 sales@semistarcorp.com
623 AMAT 1080‐00011, AMAT, MOTOR S32 EXCHANGE ARM DRIVE
624 AMAT 1080‐01267,AMAT,Applied Materials, DRVR SERVO DIGITAL INDEXED 2KW 100‐240VAC
625 AMAT 1110‐01046,3155086‐002 REV A / NTWRK MATCH 12.56MHZ 2.5KW AZX 90 DOME R/ AMAT
626 AMAT 1120‐A0150 LENS‐OBJECTIVE
627 AMAT 1140‐00166 / PSU G2 1KW SPEC, 0190‐04408 HITEK A1028760 / APPLIED MATERIALS AMAT
628 AMAT 1400‐50046, IR‐FB, (AMJ) Sensor, Temperature Fiber Thermometer.
629 AMAT 21016401116 / IND PC PII BOX CELERON A / APPLIED MATERIALS AMAT
630 AMAT 21016401250 / ANORAD X‐Y CONTROLLER / APPLIED MATERIALS
631 AMAT 21016402163/ROBOT CONTROLLER WAC‐204T‐S293/APPLIED MATERIALS AMAT BROOKS PRI
632 AMAT 2805‐738144 / AMAT BELL, POLISH SST ASSY (RAM) / APPLIED MATERIALS AMAT
633 Amat 300mm CMP Megasonic unit
634 AMAT 300mm VHP ROBOT NSK DRIVER
635 AMAT 3030‐00600 / SEC‐7350M HE MASS FLOW CONTROLLER SEC‐7350 STEC / AMAT
636 AMAT 30601610300 / ITU DRIVE ASSEMBLY / APPLIED MATERIALS AMAT
637 AMAT 30712300000 / DUAL ION‐PUMP CONT. ASSY 929‐7003/S003 VARIAN **W/ EXCH** / AMAT
638 AMAT 30712403000 / CDM3B ASSY / APPLIED MATERIALS AMAT
639 AMAT 30712500000 / OPAL MEC‐2 ASSY / APPLIED MATERIALS
640 AMAT 30716500100 / WHC ASSY, OPAL COMPUTER 2101641136 / APPLIED MATERIALS AMAT
641
AMAT 3151801‐004 0190‐13025/HDP,RPS,ATOMIC FLRN. GNRTNG. RPS,RF6000W/APPLIED 
MATERIAL
642 AMAT 3210038 / VIDEO SWITCHER SW6 RGBHV / APPLIED MATERIALS AMAT
643 AMAT 3750‐01147, AMAT, MKS Astex FI20166, Tuner 1/4‐Guide WL 2.45 GHZ 3KW Stub
644 AMAT 3870‐02693; AMAT, VALVE GATE 8” PNEUMATIC ACTUATOR CONFLATE UHV SST
645 AMAT 3870‐05190 / EPSILON NOR CAL THROTTEL VALVE WITH INTELLISYS / AMAT
646 AMAT 3920‐00249 510‐20000‐02 DRY NOVA XE ILLUMINATION ASSY
647 AMAT 3920‐00249 Sensor Dry Nova, Xenon Illumination 510‐20000‐03 Assy
648 AMAT 400206 / GALAXY XYZ ANOR AD ISRAEL LTD / APPLIED MATERIALS AMAT
649 AMAT 403379‐XA‐MEGA 5.3 0010‐08928 / CENTURA AP MAINFRAME / AMAT
650 AMAT 4040345 KVR1658 / UVISION 5 POWER SUPPLY INTERFACE BOX / APPLIED MATERIALS AMAT
651 AMAT 4060‐00066 Manifold 3, 3/8" Flaretek, Outgoing,
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 20 sales@semistarcorp.com
652 AMAT 406837‐XA‐MEGA / MEGA MODULE CENTURA AP REV 000/APPLIED MATERIALS AMAT
653 AMAT 50412411000 /FRAME ASSY ETPS/ APPLIED MATERIALS
654 AMAT 50412578300 / BOARD ASSY CLC/INSIGHT (EP70412576000) / APPLIED MATERIALS AMAT
655 AMAT 50414710000 / HVU ASSY, G303/51, A1016640, ADVANCE HIVOLT / AMAT
656 AMAT 50414753000 / CRYO COMPRESSOR / APPLIED MATERIALS
657 AMAT 50416002200 / O2 SYSTEM UNIT ASSY (CMNB014ANT200) / APPLIED MATERIALS AMAT
658 AMAT 50416002300 /O2 SYSTEM UNIT ASSY / APPLIED MATERIALS
659 AMAT 50416002700 / EXCITE CD SEM SILICON GRAPHICS O2 SGI COMPUTER / APPLIED MATERIALS
660 AMAT 50416005200 / SGI O2 OFF‐LINE ASSY / SILICON GRACPHICS
661 AMAT 50416500100 / WTC CAGE ASSY AND 4 PCB / APPLIED MATERIALS
662 AMAT 50416900500 / IPU ASSY VER 4.0 / APPLIED MATERIALS AMAT
663 AMAT 50419700000 / OMP ASSY / APPLIED MATERIALS AMAT
664
AMAT 50515110000/SCAN DO PRO II 1291‐AMT/APPLIED MATERIALS AMAT COMMUNICATIONS 
SPECIA
665 AMAT 50516000100 / G2 OCTANE ASSY / APPLIED MATERIALS AMAT
666 AMAT 600366 / AUTOMATIC TEMPERATURE CONTROL / APPLIED MATERIALS AMAT
667 AMAT 600366 / AUTOMATIC TEMPERATURE CONTROL / APPLIED MATERIALS AMAT
668 AMAT 600369 / W AUTOMATIC TEMP CONTRO / APPLIED MATERIALS AMAT
669 AMAT 600422 / ASSY, SCR PACK 50 HZ 7600/7800 / APPLIED MATERIALS AMAT
670 AMAT 600926 Upper Wafer Clamp Ring 200MM Rev2 ‐ New Applied Materials/LAM
671 AMAT 62001899 CHILLER NESLAB THERMOFISHER SCIENTIFIC AMAT 0190‐02175W STEELHEAD3 CHX
672 AMAT 678635 / W PCB, POWER SUPPLY / APPLIED MATERIALS AMAT
673 AMAT 70247‐003221 0020‐21699 /ORIENTER LASER CLASS I / APPLIED MATERIALS AMAT
674 AMAT 70508130000 / LLK ROT.PUMP ASSY 40M3/H / APPLIED MATERIALS AMAT
675 AMAT 70512599000 / FRAME ASSY WITH 5 BOARDS SGS / APPLIED MATERIALS
676 AMAT 711001856 /MAGMOTOR TECHNOLOGIES, 200MM, MAG CONTROLLER/ APPLIED MATERIALS
677 AMAT 9010‐00505 Blade Drive Assembly +/‐15,
678 AMAT 9010‐00739 Focus Clamping Box Assy, 9010‐00739ITL, 0090‐91422ITL,
679 AMAT 9010‐01496 ITL / QUANTUM X HEAT EXCHANGER / APPLIED MATERIALS
680 AMAT 9090‐00202ITL PRE‐AYAMG CONTROL CHASS LEAP2. 
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 21 sales@semistarcorp.com
681 AMAT 9090‐00202ITL PRE‐AYAMG CONTROL CHASS LEAP2. 
682 AMAT 9090‐00801 A1031250 / PRE ACCEL POWER SUPPLY QUANTUM 3 / AMAT HITEK POWER
683 AMAT 9090‐00970 / QUANTUMX CONTROL ARCOM 7041‐64012‐004‐101 CONTROLS / AMAT
684 AMAT 9090‐01095 / FAI CONTROLLER CHASSIS QX+ / APPLIED MATERIALS AMAT
685 AMAT 9090‐01127 / AMAT ITL VACUUM ROBOT AMPLIFIER CHASSIS PX42B / AMAT
686 AMAT 9090‐01143 / LASER SENSOR CHASSIS, PX41M / APPLIED MATERIALS AMAT
687 AMAT 9090‐01162 / ENERGY CONTROL CHASSIS, PXP41L / APPLIED MATERIALS AMAT
688 AMAT 9090‐01201 / BEAMLINE VACUUM CHASSIS / APPLIED MATERIALS
689 AMAT 9090‐01331 / CHASSIS , QX+PFS & ARC PSU / APPLIED MATERIALS
690 AMAT 9090‐15270 / INLINE TRANSFORMER, FI ROBOT/ALIGNER / APPLIED MATERIALS AMAT
691 AMAT APPLIED 0010‐03361 MCA+ HTR ASSY, MCA'D JMF 8"
692 AMAT Applied Material 0040‐31996 Heater Assembly New Surplus
693 AMAT Applied Materials (01‐81912‐00 & 01‐81912‐00/C) Analog Interface Units
694 AMAT Applied Materials 0010‐00357 Flat Finder New
695 AMAT Applied Materials 0010‐01231 Assembly LP‐5.1 Mini Magnet New Surplus
696 AMAT APPLIED MATERIALS 0010‐01995 CENTURA THROTTLE VALE NSX XY‐MS0014‐104
697 AMAT Applied Materials 0010‐03323 Heater Assy 8” NHT .029 Extra Wide Pock *new*
698 AMAT Applied Materials 0010‐03324 Heater Assembly 8" WxZ Mod New Surplus
699 AMAT Applied Materials 0010‐03324 Heater Assy 8” NLT. 029 Extra Wide Pock *clean
700 AMAT Applied Materials 0010‐03346 Heater Assy 6” AMJ WXZ **
701 AMAT Applied Materials 0010‐03346 Heater Assy 6” AMJ WXZ **
702 AMAT Applied Materials 0010‐04522 200mm Electrostatic Chuck 0040‐08921 New
703 AMAT Applied Materials 0010‐04542M Heater Assy 200M FC WXZPLUS OSCR *refurbished
704 AMAT APPLIED MATERIALS 0010‐04941 MAGNET ASSEMBLY SIP‐CU 300
705 AMAT Applied Materials 0010‐05254 0040‐32148 Heater Assy **
706 AMAT Applied Materials 0010‐05940 RH‐3 Magnet RP Assembly Refurbished
707 AMAT Applied Materials 0010‐10328 Oxide ESC Shell 200mm
708 AMAT Applied Materials 0010‐11228 300mm Magnet Assembly New Surplus
709 AMAT Applied Materials 0010‐11228 PVD Magnet LP‐3.7.3 300mm Endura New
710 AMAT Applied Materials 0010‐11491 001 Heater *, clean surface*
711 AMAT Applied Materials 0010‐11491 001 Heater *, small ding*
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 22 sales@semistarcorp.com
712 AMAT Applied Materials 0010‐11491 002 Heater *, clean surface*
713 AMAT Applied Materials 0010‐11491 002 Heater *, small ding*
714 AMAT Applied Materials 0010‐14528 Magnetic Source 3 CPI‐VMO Endura 
715 AMAT Applied Materials 0010‐15669 200mm Ceramic DPS ESC Electrostatic Chuck New
716 AMAT Applied Materials 0010‐16858 300mm Heater Pedestal SDMAC FDR SLTESC Working
717 AMAT Applied Materials 0010‐19026 STD PVD Degas Chamber Quartz Viewpoint New
718 AMAT Applied Materials 0010‐20331 Dual Degas Magnet Driver Working
719 AMAT APPLIED MATERIALS 0010‐21465 MAGNET ASSY TI DWA SOURCE 1 DURASOURCE
720 AMAT Applied Materials 0010‐22567 PVD Chamber SOURCE 3 CPI‐VMO Rev. 003 As‐Is
721 AMAT Applied Materials 0010‐22568 PVD Chamber SOURCE 4 CPI‐VMO Rev. 001 Endura
722 AMAT Applied Materials 0010‐22568 PVD Chamber SOURCE 4 CPI‐VMO Rev. 003 Endura
723 AMAT Applied Materials 0010‐22569 PVD Chamber SOURCE 4 CPI‐VMO Copper Cu Working
724 AMAT Applied Materials 0010‐22569 PVD Chamber SOURCE 4 CPI‐VMO Rev. 003 As‐Is
725 AMAT Applied Materials 0010‐22569 PVD Chamber SOURCE D CPI‐VMO Rev. 003 As‐Is
726 AMAT Applied Materials 0010‐24357 E‐Chuck Heater Assembly Refurbished
727 AMAT Applied Materials 0010‐25341 PVD Chamber SOURCE 1 CPI‐VMO Copper Cu Working
728 AMAT Applied Materials 0010‐25341 PVD Chamber SOURCE 1 CPI‐VMO Rev. 001 Working
729 AMAT Applied Materials 0010‐25341 PVD Chamber SOURCE 1 CPI‐VMO Rev. 003 As‐Is
730 AMAT Applied Materials 0010‐25341 PVD Chamber SOURCE 1 CPI‐VMO Rev. 004 As‐Is
731 AMAT Applied Materials 0010‐25431 PVD Chamber SOURCE 2 CPI‐AMO Copper Cu Working
732 AMAT Applied Materials 0010‐27417 Magnet Rotation Gearbox Assembly Refurbished
733 AMAT Applied Materials 0010‐27504 PVD Chamber SOURCE 3 CPI‐AMO Copper Cu Working
734 AMAT Applied Materials 0010‐27504 Source Assembly Encore 2 Cu Copper Used
735 AMAT Applied Materials 0010‐27512 Slip Ring RMH A‐110‐2023 Working Surplus
736 AMAT Applied Materials 0010‐29248 TSDA ASSY, STANDARD MANIFOLD, 300MM REFLEXION
737 AMAT APPLIED MATERIALS 0010‐30012 MAGNETIC DRIVE ASSY, MC ROBOT P5000
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 23 sales@semistarcorp.com
738 AMAT Applied Materials 0010‐30421 Heater Assy 8” JHT .029 OSCR WXZ *new surplus*
739 AMAT Applied Materials 0010‐35937 RF Match Assembly Rev. 03 New
740 AMAT Applied Materials 0010‐37386 Center Water Feedthru Source New Surplus
741 AMAT Applied Materials 0010‐37788 Heater Assy Purge 200MM SNNF TXZ BKM *cleaned*
742 AMAT Applied Materials 0010‐42740 ASSY, HIGH EFFICIENCY RF MATCH‐BIAS SIP
743 AMAT Applied Materials 0010‐42745 ASSY, HIGH EFFICIENCY RF MATCH ‐ BIAS
744 AMAT Applied Materials 0010‐93152 Heater Pruge Assy 200MM SNNF TXZ BKM *cleaned*
745 AMAT Applied Materials 0020‐02344 Lower Shield 300mm Non‐Copper 
746 AMAT Applied Materials 0020‐02344 Lower Shield 300mm Non‐Copper 
747 AMAT Applied Materials 0020‐48303 300mm Cleancoat Lower Shield Cu Refurbished
748 AMAT Applied Materials 0020‐54777 Inner Shield 300mm SIP New Surplus
749 AMAT Applied Materials 0020‐75028 Module Wafer Handling Robot 0020‐60583 Used
750 AMAT Applied Materials 0020‐79039 Spindle Housing Assembly 300mm Working Surplus
751 AMAT Applied Materials 0020‐87791 PLASMA SCREEN Y203 COATED 300MM DPSII ‐Cleaned
752 AMAT Applied Materials 0020‐87791 PLASMA SCREEN Y203 COATED 300MM DPSII‐Repaired
753 AMAT Applied Materials 0020‐99521‐005 Al Alloy Shield Inner eSIP 300mm Used
754 AMAT Applied Materials 0021‐11382 CPI‐VCM Chamber Source 3 Magnet Assembly Used
755 AMAT Applied Materials 0021‐41520 PVD Chamber SOURCE CPI‐AMO Endura 300mm As‐Is
756 AMAT Applied Materials 0021‐43798 Upper NI AL ARC‐SPRAY Shield 300mm PVD New
757 AMAT Applied Materials 0021‐85656 FACEPLATE 300MM H016 XGEN LP
758 AMAT Applied Materials 0021‐86050 INTERNAL MEMBRANE CLAMP, 300MM TITAN EDG
759 AMAT Applied Materials 0021‐96593 Cooling Plate Copper Cu Exposed Working Spare
760 AMAT Applied Materials 0021‐96593 Cooling Plate Working Surplus
761 AMAT Applied Materials 0040‐02609 Magnet Cathode Liner Super‐E Refurbished
762 AMAT Applied Materials 0040‐09098 BLADE LAMINATION ASSY 8" P5000 Robot
763 AMAT Applied Materials 0040‐20850 Heater
764 AMAT Applied Materials 0040‐2149 Heater Assembly New Surplus
765 AMAT Applied Materials 0040‐23891 Heater Assembly Spare As‐Is
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 24 sales@semistarcorp.com
766 AMAT Applied Materials 0040‐32530 IPS Upper Lamp Roof Cooling Plate Used
767 AMAT Applied Materials 0040‐35851 Polyimide Pedestal Rev. A New Surplus
768 AMAT Applied Materials 0040‐36425 200mm Electrostatic Chuck 0021‐37371 New
769 AMAT Applied Materials 0040‐38515 DPS‐P‐ESC Chuck (KAP‐PS08F)
770 AMAT Applied Materials 0040‐79200 CHAMBER UPPER Y2O3 300MM DPS II
771 AMAT Applied Materials 0040‐79200 CHAMBER UPPER Y2O3 300MM DPS II ‐ Cleaned
772 AMAT Applied Materials 0040‐81156 Chamber Upper Liner 300mm DPS2 Cleaned Used
773 AMAT Applied Materials 0040‐85721 Upper Pedestal Kit Rev. 004 Cu Copper Working
774 AMAT Applied Materials 0040‐85721 Upper Pedestal Rev. 003 Cu Copper Working
775 AMAT Applied Materials 0040‐99957 195mm Semi Notch Shell Assembly ESC DPS New
776 AMAT Applied Materials 0041‐00898 PVD Magnet New
777 AMAT Applied Materials 0041‐05749 PUMPING PLATE SICONI 300MM TWIN
778 AMAT Applied Materials 0041‐05925 300mm Ceramic Platen DLC ESC New Surplus
779 AMAT Applied Materials 0041‐32575 300mm Titan Dampened Carrier Cu Refurbished
780 AMAT Applied Materials 0041‐42533 PUMPING PLATE 300MM
781 AMAT Applied Materials 0041‐43374 CAP, INJECT, 2 PORT, ROW EPI, 300MM
782 AMAT Applied Materials 0090‐36276, ASSEMBLY, REACTOR, DPA
783 AMAT APPLIED MATERIALS 0100‐77001 REV P4 PCB ASSY I/O CONTROLLER
784 AMAT APPLIED MATERIALS 0190‐01632 RF ASSEMBLY 72ft COAXIAL CABLE 0190‐01632
785 AMAT APPLIED MATERIALS 0190‐01769 CENTURA RADIANT PLUS RF GEN CABLE 75 FT
786 AMAT Applied Materials 0190‐04213 HDPCVD A/C Distribution Box Centura Ultima
787 AMAT Applied Materials 0200‐03259 Slotted Preheat Ring .15 THK 300mm EP New
788 AMAT Applied Materials 0200‐06405 Ceramic Lid AG1000 Dual Gas Feed 300MM DPS‐New
789 AMAT Applied Materials 0200‐07209 QUARTZ LINER, SLIT VALVE TUNNEL, 300MM EP
790 AMAT Applied Materials 0200‐07363 DALI SHADOW RING,1.75MM COVERAGE
791 AMAT APPLIED MATERIALS 0200‐10297 OBS DOME CERAMIC DPS CHAMBER BOC EDWARDS
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 25 sales@semistarcorp.com
792 AMAT Applied Materials 0200‐89012 SUSCEPTOR, TEMPERATURE CALIBRATION
793 AMAT Applied Materials 0240‐13020 KIT 300MM SINGLE BLADE ROBOT BEARING PM
794 AMAT Applied Materials 0240‐30808 Pedestal Kit 200mm New Surplus
795 AMAT Applied Materials 0240‐42172 KIT, 300MM CORROSION RESISTANT DBR BEARING
796 AMAT Applied Materials 0240‐63364 KIT, FX FOBOT DRIVER BOX
797 AMAT Applied Materials 0242‐44072 KIT, 300MM PCII INNER OUTER SHIELD ASSY
798 AMAT APPLIED MATERIALS 0250‐05622 VHP TRANSFER LID ASSY
799 AMAT Applied Materials 0270‐03361 TOOL, LID LEAK TESTER, 300MM ALD TAN TXZ ASSY
800 AMAT Applied Materials 0270‐05424 FIXTURE, RING LIFT ASSY, SCREW DRIVE, DBR
801 AMAT Applied Materials 1080‐90120 Exchange Arm Motor Assembly 0021‐06737 Used
802 AMAT Applied Materials 1120‐01071 OPT BIFURCATED BDL SPC 210/230N BICON CONN 25'
803 AMAT APPLIED MATERIALS 150864‐50‐61 MIRRA CMP HEAD ASSY 200MM TITAN CONTOUR
804 AMAT Applied Materials 213T0381‐01 WIRE RING ASM 300MM x 1.3MM x R
805 AMAT Applied Materials 219T0958‐05 Hub Backing Plate Vortex Chuck Precision
806 AMAT Applied Materials 219T0970‐01 Bellows Drive Plate 1.6" Trvl Precision Head
807 AMAT Applied Materials 404663 PVD Chamber SOURCE 4 CPI‐VMO Copper Exposed Used
808 AMAT Applied Materials 410891‐P4‐ECHD Magnet Source D CPI‐VMO 0010‐24405 Spare
809 AMAT Applied Materials 410919‐P4‐SYAC System Power Controller Endura 300mm New
810 AMAT Applied Materials 419047R3‐EY‐ZCHD CPI VMO Chamber D Spare
811 AMAT Applied Materials 5000 CVD Configured Chamber Direct Drive A079C
812 AMAT Applied Materials 633005261 PVD Chamber Source Copper Exposed As‐Is
813 AMAT APPLIED MATERIALS 72 FT. CABLE P/N 0190‐01378
814 AMAT Applied Materials 7326 B Process Chamber Precision 5000 ETCH P5000 Spare
815 AMAT Applied Materials 8330 8300 6"/150mm Metal Etcher Semi Tool
816 AMAT Applied Materials 9010‐00299 Quantum Process Module Load Lock Door Used
817 AMAT Applied Materials 9090‐00442 Decel PSU Resistor 9010‐01409ITL Rev. C Used
818 AMAT Applied Materials 9090‐00442 Decel PSU Resistor 9010‐01409ITL Rev. D Used
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 26 sales@semistarcorp.com
819 AMAT Applied Materials 9090‐00923 Processor Vacuum Control Rev. B Quantum X Used
820 AMAT Applied Materials 9090‐01095 Controller Chasis Rev. A 
821 AMAT Applied Materials 9090‐01144 Processor and Sensor Rack Quantum X Used
822 AMAT Applied Materials 9091‐00639 Electrostatic Chuck DC Power Supply Used
823 AMAT Applied Materials 90K CPU Processor Board PCB AKT Division 
824 AMAT Applied Materials 912C0115‐05 Tank Control I/O Block UNHTD 2 TKS SST ANALOG
825 AMAT Applied Materials Al203 Coated Upper Chamber (R) DPS Poly Refurbished
826 AMAT Applied Materials AM9090‐00923ITL Processor Vacuum Control Chassis Used
827 AMAT Applied Materials ASSY 6" HEATER VCR W/2TC 'S 0010‐70252
828 AMAT APPLIED MATERIALS CENTURA 300MM LINEAR PLATFORM PCB 0100‐02292 USED
829 AMAT Applied Materials Chamber 3 Source Copper Exposed Untested As‐Is
830 AMAT Applied Materials Chamber 4 Source Unmarked Untested As‐Is
831 AMAT Applied Materials Controller Interface Assembly Control IGL SCR Chamber NEW
832 AMAT Applied Materials DP‐COMP CAGE ASSY 0090‐A1230 7 Cards Power Control
833 AMAT Applied Materials DP‐COMP CAGE ASSY 0090‐A1240 7 Cards Power Control
834 Amat Applied Materials Endura 300mm PVD Chamber W/ Choice of 300mm MCA LTE ESC
835 AMAT Applied Materials Inspection Chamber 200mm Excite Particle Detection System
836 AMAT Applied Materials M8‐0609‐04 Upper Chamber
837 AMAT Applied Materials Pick and Place Robot ITU Assembly VeraSEM 
838 AMAT Applied Materials Plasma Stir Stirring Magnet Assembly
839 AMAT Applied Materials Precision 5000 Nitride PARC PECVD System P5000, 
840 AMAT Applied Materials Quantum Leap 3 Process Module Wheel 
841 AMAT Applied Materials Quantum Leap III Process Module Wheel 
842 AMAT Applied Materials RE11125640 ACC Column Rev. D Varian E11125640 Refurbished
843 AMAT Applied Materials SMG Mainframe Wafer Robot 4", 5" 0010‐35990R
844 AMAT Applied Materials Wrist and Blade Assembly 0040‐03667 0021‐76773 Centura
845 AMAT ASSEMBLY, HEATER, 200MM MGF2 COATED, NGK, 0010‐05190(0190‐04207)
846 AMAT ASSY BEFFER BLADE HP PLUS HTHU 200MM, 0010‐75512
847 AMAT ASSY HIGH EFF RF‐BIAS W/FILTER PCB AMAT# 0010‐33724 
848 AMAT AUTOMATIC TEMPERATURE CONTROL APPLIED MATERIALS
849 AMAT CDSEM 70202300000 Internal Gate Valve for SEMVision Cx
850 AMAT CENTURA DXZ CHAMBER
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 27 sales@semistarcorp.com
851 AMAT Centura Ultima X 0010‐08687 HDP‐CVD 300mm Chamber B 0010‐11586 Working
852 AMAT Chamber Endura Centura Assembly Incomplete / Applied Materials
853 AMAT CWxZ Ceramic Heater, 200MM, 0040‐46818(ASSY 0010‐10252)
854 AMAT DC5000 ‐ AMAT ‐ PRECISION 5000, DC POWER SUPPLY,
855 AMAT DCG‐100Z Optima ENI SPR S03‐111 DC Plasma Generator AMAT 0190‐14212 Refurbished
856 AMAT DCG‐200Z ENI DC22S‐Z022000010A AMAT 0190‐08034 Damaged Breaker Tested Working
857 AMAT DCG‐200Z ENI DC22S‐Z022000010A DC Plasma Generator Rev. A 0190‐08034 Tested
858 AMAT DCG‐200Z ENI DC22S‐Z022000010A DC Plasma Generator Rev. F 0190‐08034 Tested
859 AMAT DCG‐200Z OPTIMA ENI DCG‐100Z‐00 DC Plasma Generator AMAT 0190‐10114 Refurbished
860 AMAT DETECTOR CRYO COOL THERMO‐NORAN T2108‐01‐01‐14 CRYOTIGER COLD END D‐6432R
861 AMAT EFF RF‐BIAS W/FILTER AMAT# 0010‐26180 Used 
862 AMAT e‐MAX RF Match 0010‐39207 Applied Materials High Efficiency Matching Tuner
863 AMAT ENDURA 714 330234 004 LIFTER
864 AMAT ENDURA MAGNETIC VHP ROBOT HUB & ARM 0240‐75090 APPLIED MATERIALS SEMI PART
865
AMAT EPO810AF5‐05, 1080‐00054 / MIRRA MEGATORQUE DRIVE AMAT SET MOTOR & DRIVE / 
AMAT
866 AMAT ESC Assy, 300MM, Dual Electrode 0040‐63476 0010‐16392
867 AMAT ESC, TESTED, 150MM JMF, HDPCVD, ULTIMA #0040‐18136
868 AMAT ETCH RF Match R2 0010‐30094 AMAT Rev. 002 Rev: B
869 AMAT ETO HDPCVD RF Generator Rack, Source Generator, AMAT HDP
870 AMAT HARP Faceplate OM 0041‐25016
871 AMAT HE RF MATCH‐BIAS W/FILTER PCB,300MM E2 # 0010‐26180 Used
872 AMAT HTR 0140‐09719, HARNESS ASSY, HTR POWER, WXZ P5000 
873 AMAT Integrated Circuit Support Amat # 0200‐36697 Silicon Ring 200MM
874 AMAT LAMP MODULE #1 RH / APPLIED MATERIALS AMAT
875 AMAT M‐100 LUXTRON OPTICAL FIBER TEMPERATURE CONTROL SYSTEM 100C AMAT 0190‐03571
876 Amat Magnet Assembly 0010‐20223 C,11.3" TiN w/Rem Assy 'M' Made by Magic PVD CVD
877 AMAT Magnet, PVD,
878 AMAT MIRRA HEAD CMP APPLIED MATERIALS
879 AMAT MKS INSTRUMENTS FPA UNIT 0190‐24854 REV1.8 VERY CLEAN
880 AMAT MN3150058‐002 0920‐01048 / GEN RF 12.56MHZ 3PH 2000W / ADVANCED ENERGY AMAT
881 AMAT Opal 320‐250326 System Control SBC Board Assembly AMAT SEMVision cX 
882 AMAT Opal 320‐250326 System Control SBC Board Assembly AMAT SEMVision cX 
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 28 sales@semistarcorp.com
883 AMAT P5000 CVD
884 AMAT P5000 Etch Chamber Lid Cover, Gas Distribution,
885 AMAT P5000 Etch Chamber Lid Cover, Temperature Control, Gas Distribution, 
886 AMAT P5000 MarK II MXP POLY
887 AMAT P5000 SPUTTER Process Kit. AMAT, Used, Individually Cleaned and Sealed.
888 AMAT P5000 TRANSFER CHAMBER ON MOBILE VARIAN CART
889 AMAT PECVD CHAMBER BASEPLATE 208V 2000W CAST ALUMINUM PEDISTAL HEATER 0041‐45761
890 AMAT Power Controller 02‐83408 Rev. B PVD Driver Applied Mat PS05100161 SCR
891 AMAT PT56Z0Z00 3620‐00469 / EDWARDS, SCU‐750 TURBO CONTROLLER / AMAT
892 AMAT PVD 0010‐20287 & 0010‐20288 Handler, Assy. LT & RT ( 1 pair)
893 AMAT Quantum I/II/III High Current ion Implanter Wheel Assy.
894 AMAT RF Power 7621482040 RF Match, AMAT 0190‐71100, 5000 Watts, 13.56MHz,
895 AMAT U‐AFA2M‐DUV / OLYMPUS MICROSCOPE ACTIVE AUTO FOCUS UNIT/ AMAT
896 AMAT UKA0003E / ELECTRICAL BOX ASSEMBLY QUANTUM X / APPLIED MATERIALS AMAT
897 AMAT Ultima 200MM ESC PART#0040‐18219 8inch
898 Amat Varian Particle Beam Scan Amplifier Assy 0342‐0678
899 AMAT VHP Robot 300mm full set
900 AMAT XR Implant Pre Accel/Amag Control Chassis 0090‐91436
901 AMAT  ENDURA  714 330234 004 LIFTER
902 AMAT# 0190‐76043 Synergy Microsystems V440 SBC PCB, 68040 CONTROLLER
903 AMAT# 0200‐00295 Base Ring IPS Silicon Source, 30 Gas Holes .243 Thick
904 AMAT, 0040‐37359, HEATER CERAMIC 200MM TI‐XZ
905 AMAT, AE Advanced Energy PDX 900‐2V, Brand New
906 AMAT, Applied Materials 0090‐03467 PCB SBC Board, Synergy V452.Condition is Used
907 AMAT, Applied Materials, 0010‐02616, Assy sym gas ring 24 ports
908 AMAT, Applied Materials, 0010‐03349 (0010‐30421)
909 AMAT, Applied Materials, 0010‐06598, Ceramic Heater
910 AMAT, Applied Materials, 0010‐21676 PVD Endura IMP vectra Magnet
911 AMAT, Applied Materials, 0010‐36408, RF match DPS
912 AMAT, Applied Materials, 0010‐76149, HTHU Heater 6" USED
913 AMAT, Applied Materials, 0020‐37714, TOOL,LEAK CHECK KIT ,RTP CHAMBER
914 AMAT, Applied Materials, 0040‐32205, Cathode Base 150mm
915 AMAT, Applied Materials, 0040‐33701, Heater Block Insert Ultima 1.5 new
916 AMAT, Applied Materials, 0040‐41776, Face Plate 300mm, Producer TEOS
917 AMAT, Applied Materials, 0090‐76133, PCB SBC Board, Synergy V452
918 AMAT, Applied Materials, 0190‐01371, Bias match AE, Ultima HDP CVD
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 29 sales@semistarcorp.com
919 AMAT, Applied Materials, 0190‐35961, OBS CALIBRATED OPTICAL SENSOR/CABLE
920 AMAT, Applied Materials, 0200‐36118, EDGE RING, 200MM WAFER, BATCH COATED
921 AMAT, Applied Materials, 0200‐36120, ADAPTER RING, 150 MM WAFER, SI BATCH COA
922 AMAT, Applied Materials, AE 3155031‐014, 0190‐11225, Match Bias, Ultima HDP
923 AMAT, Applied Materials, Assembly Bearing 6" & 8" HEWEB, NEW
924 AMAT, Applied Materials, Centura 5200, CVD chamber 6" config
925 AMAT, Applied Materials, HDP CVD Ultima lid
926 AMAT, Applied Materials, Producer 0200‐39289 Isolator TEOS pumping ring 200mm
927 AMAT, Applied Materials, PVD Endura Heater, 0010‐17665
928 AMAT, Applied Materials,0100‐00645, 0100‐01097, PCB slit valve inter
929 AMAT,Applied Materials, 0010‐03087, TTW Mounting Assy Monitor
930 AMAT,Applied Materials,0040‐33998, 0021‐09104,0021‐06092, 0200‐00410, 0200‐76068
931 AMAT/Applied Materials PN: 3870‐00361 Cold Trap Drain Assy W/Tank
932 AMAT3540‐01082 / 8IN HEATER ENDURA PVD / APPLIED MATERIALS AMAT
933 American Plasma Tech Evaporator / Vacuum Chamber 22" x 27.5" x 20.5"
934 AMETEK ROTRON HEAT EXCHANGER 2068‐03 0195‐06894 HEAT EXCHANGER W/FAN BELLMOUTH
935 AMI Presco 465 Screen‐Printer
936 AMI Presco Model 465 Screen Printer SN 702466
937 Amistar AI‐6448 Axial Inserter with extras! Dynapert Westamp Gateway Pima Gast
938 AMP MY500 AMP POM Unit L‐039‐0233
939 AMR SEMI AUTOMATED WET BENCH Model # 5FT‐APS‐PVCC
940 Amray  13" x 13" x 13.5" SEM Amray Field Emmission Scanning Electron Microscope
941 Amray Scanning Electron Microscope SEM 3800L Intel WORK
942 Amtec XRF 940 V X‐ray Fluorescence Coating Process Measuring System
943 Anatech Hummer 6.2 Benchtop Sputtering Coater System
944 ANATECH HUMMER 8 DESKTOP SPUTTERING SYSTEM
945 Anatech Hummer VII Sputtering System VII with Vacuum Pump with 4 Month Warranty
946 Anatech SP100 Plasma Asher / RIE Reactive Ion Etcher, 6" barrel TESTED!
947 Anatech SP100 Table Top Plasma System9
948 ANDEEN‐HAGERLING AH 2700A 50 Hz‐20 kHz Ultra‐precision Capacitance Bridge WORKS
949 ANELVA C7100
950 Anelva Sputtering Unit; Anelva P‐876CA‐B Cryopump, Compression Unit And More
951 Angstrom Sciences Sputtering Cathode with Aluminum Target, 8" x 3"
952 Angstrom Sun SE200BM Spectroscopic Ellipsometer
953 Angstrom Sun Technologies Spectroscopic Ellipsometer
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 30 sales@semistarcorp.com

More Related Content

Similar to Semiconductor Equipment and Spare Parts 1

Centrifugal Pump Catalogue
Centrifugal Pump CatalogueCentrifugal Pump Catalogue
Centrifugal Pump CatalogueNicholas Hassan
 
Catalog compresoare cu piston CECCATO
Catalog compresoare cu piston CECCATOCatalog compresoare cu piston CECCATO
Catalog compresoare cu piston CECCATOColinGroup Craiova
 
Power Shaver Air Purification
Power Shaver Air PurificationPower Shaver Air Purification
Power Shaver Air PurificationLisaKnapp23
 
standard-units.pdf | Goel scientific | Canada
standard-units.pdf | Goel scientific | Canadastandard-units.pdf | Goel scientific | Canada
standard-units.pdf | Goel scientific | CanadaGoelScientific1
 
DD 2x4 - Product Sheet
DD 2x4 - Product SheetDD 2x4 - Product Sheet
DD 2x4 - Product SheetDiversitech
 
Fundamentals-of-CKV.pdf
Fundamentals-of-CKV.pdfFundamentals-of-CKV.pdf
Fundamentals-of-CKV.pdfSebaGmez4
 
Steam & water analysis system (swas)
Steam & water analysis system (swas)Steam & water analysis system (swas)
Steam & water analysis system (swas)khashayar Ataee
 
Nacer Achaichia - Honeywell - REFRIGERANTI A BASSO GWP PER CHILLER
Nacer Achaichia - Honeywell - REFRIGERANTI A BASSO GWP PER CHILLERNacer Achaichia - Honeywell - REFRIGERANTI A BASSO GWP PER CHILLER
Nacer Achaichia - Honeywell - REFRIGERANTI A BASSO GWP PER CHILLERCentro Studi Galileo
 
2013 Coachmen Freelander Class C Motorhome
2013 Coachmen Freelander Class C Motorhome 2013 Coachmen Freelander Class C Motorhome
2013 Coachmen Freelander Class C Motorhome Dave Arbogast RV
 
Service ariston depok 0813-8024-0365
Service ariston depok 0813-8024-0365Service ariston depok 0813-8024-0365
Service ariston depok 0813-8024-0365komporariston
 
7.2- Kitchen Ventilation System.ppt
7.2- Kitchen Ventilation System.ppt7.2- Kitchen Ventilation System.ppt
7.2- Kitchen Ventilation System.pptssuser8a46f4
 
Top product 2012
Top product 2012Top product 2012
Top product 2012omshopp
 
Snec Pv 2009 Final
Snec Pv 2009 FinalSnec Pv 2009 Final
Snec Pv 2009 Finalmrusnak
 
Ceiling Fans And Room Heaters By Vilo Industries India
Ceiling Fans And Room Heaters By Vilo Industries IndiaCeiling Fans And Room Heaters By Vilo Industries India
Ceiling Fans And Room Heaters By Vilo Industries IndiaIndiaMART InterMESH Limited
 
Continental Industrie
Continental IndustrieContinental Industrie
Continental IndustrieWinfried Goes
 

Similar to Semiconductor Equipment and Spare Parts 1 (20)

Centrifugal Pump Catalogue
Centrifugal Pump CatalogueCentrifugal Pump Catalogue
Centrifugal Pump Catalogue
 
PC® CYCLONE
 PC® CYCLONE PC® CYCLONE
PC® CYCLONE
 
Catalog compresoare cu piston CECCATO
Catalog compresoare cu piston CECCATOCatalog compresoare cu piston CECCATO
Catalog compresoare cu piston CECCATO
 
Power Shaver Air Purification
Power Shaver Air PurificationPower Shaver Air Purification
Power Shaver Air Purification
 
Estimated Civil & Structural Quantities
Estimated Civil & Structural QuantitiesEstimated Civil & Structural Quantities
Estimated Civil & Structural Quantities
 
Natural Gas Dryer for Fueling Station Operations
Natural Gas Dryer for Fueling Station OperationsNatural Gas Dryer for Fueling Station Operations
Natural Gas Dryer for Fueling Station Operations
 
standard-units.pdf | Goel scientific | Canada
standard-units.pdf | Goel scientific | Canadastandard-units.pdf | Goel scientific | Canada
standard-units.pdf | Goel scientific | Canada
 
DD 2x4 - Product Sheet
DD 2x4 - Product SheetDD 2x4 - Product Sheet
DD 2x4 - Product Sheet
 
Fundamentals-of-CKV.pdf
Fundamentals-of-CKV.pdfFundamentals-of-CKV.pdf
Fundamentals-of-CKV.pdf
 
Steam & water analysis system (swas)
Steam & water analysis system (swas)Steam & water analysis system (swas)
Steam & water analysis system (swas)
 
Nacer Achaichia - Honeywell - REFRIGERANTI A BASSO GWP PER CHILLER
Nacer Achaichia - Honeywell - REFRIGERANTI A BASSO GWP PER CHILLERNacer Achaichia - Honeywell - REFRIGERANTI A BASSO GWP PER CHILLER
Nacer Achaichia - Honeywell - REFRIGERANTI A BASSO GWP PER CHILLER
 
2013 Coachmen Freelander Class C Motorhome
2013 Coachmen Freelander Class C Motorhome 2013 Coachmen Freelander Class C Motorhome
2013 Coachmen Freelander Class C Motorhome
 
Service ariston depok 0813-8024-0365
Service ariston depok 0813-8024-0365Service ariston depok 0813-8024-0365
Service ariston depok 0813-8024-0365
 
Harga water heater ariston 081313462267
Harga water heater ariston 081313462267Harga water heater ariston 081313462267
Harga water heater ariston 081313462267
 
7.2- Kitchen Ventilation System.ppt
7.2- Kitchen Ventilation System.ppt7.2- Kitchen Ventilation System.ppt
7.2- Kitchen Ventilation System.ppt
 
Top product 2012
Top product 2012Top product 2012
Top product 2012
 
Snec Pv 2009 Final
Snec Pv 2009 FinalSnec Pv 2009 Final
Snec Pv 2009 Final
 
Ceiling Fans And Room Heaters By Vilo Industries India
Ceiling Fans And Room Heaters By Vilo Industries IndiaCeiling Fans And Room Heaters By Vilo Industries India
Ceiling Fans And Room Heaters By Vilo Industries India
 
Types of Steam Desuperheaters
Types of Steam DesuperheatersTypes of Steam Desuperheaters
Types of Steam Desuperheaters
 
Continental Industrie
Continental IndustrieContinental Industrie
Continental Industrie
 

More from Emily Tan

20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corpEmily Tan
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipmentEmily Tan
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentEmily Tan
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipmentEmily Tan
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipmentEmily Tan
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrumentEmily Tan
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipmentEmily Tan
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipmentEmily Tan
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipmentEmily Tan
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipmentEmily Tan
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEmily Tan
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Emily Tan
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEmily Tan
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryEmily Tan
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMEmily Tan
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Emily Tan
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsEmily Tan
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor EquipmentEmily Tan
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment InventoryEmily Tan
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Emily Tan
 

More from Emily Tan (20)

20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corp
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
 

Recently uploaded

Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
Bluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdfBluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdfngoud9212
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptxLBM Solutions
 
APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDGMarianaLemus7
 
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024BookNet Canada
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitecturePixlogix Infotech
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 

Recently uploaded (20)

Vulnerability_Management_GRC_by Sohang Sengupta.pptx
Vulnerability_Management_GRC_by Sohang Sengupta.pptxVulnerability_Management_GRC_by Sohang Sengupta.pptx
Vulnerability_Management_GRC_by Sohang Sengupta.pptx
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
Bluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdfBluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdf
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort ServiceHot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptx
 
APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDG
 
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC Architecture
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 

Semiconductor Equipment and Spare Parts 1

  • 1. 1  ULVAC CRYOGENICS INC CRYO U8HSP EB1 / PUMP XD12‐2519 W / REFRIG UNIT R 10RT / ULVAC  CRYOGENICS INC 2 017‐0950‐01 / ROBOT RELIANCE SERIES, SIGL PADDLE,M WITH CASS MAPPING 3 10' x 10' Modular Clean Room; Class 10,000, ISO 7; HEPA Cleanroom Kit; Hard Wall 4 100 Horsepower FRP Chemical Fume Scrubber Blower Exhaust Fan to 90,000 cfm or 13 5 100 Horsepower FRP Chemical Fume Scrubber Blower Fan to 100,000 cfm or 12.5" sp 6 1120‐01071 OPT BIFURCATED BDL SPC 210/230N BICON CONN 25'L 7 12' ICF Bracing & Alignment System 8 12 inch round semiXicon porous ceramic vacuum chuck table 9 12' x 20' Modular Clean Room; Class 10,000, ISO 7; HEPA Cleanroom Kit; Hard Wall 10 12.5" ID 14.5" deep vacuum chamber for CVD gas shower, sample stage, load lock 11 120108355 / COOLING UNIT CABINET L 350W RT40 TYPE H 230V 1PH 9A / ROFIN 12 120‐22482‐000 / CONTROLLER, PUMP, CENTRIFUGAL, LC325, 100‐30003 / CELERITY 13 13.56MHz RF Power Supply [RF Generator & RF Matching Network/Tuner] 14 16' x 30' Modular Clean Room; Class 10,000, ISO 7; HEPA Cleanroom Kit; Hard Wall 15 20 x 20 x 10 ISO 8 MODULAR CLEANROOM/ COMPOUNDING ROOM / LAB / EXTRACTION ROOM 16 20' x 40' Modular Clean Room; Class 10,000, ISO 7; HEPA Cleanroom Kit; Hard Wall 17 26x6" 11 port Parallel Plate RF Plasma Vacuum Chamber & components for Research 18 316L SS Glove Box High Vacuum Carlisle 19 3880‐200061‐12 / TEMPERATURE CONTROLLER MODEL780 VER2.0 / TOKYO ELECTRON TEL 20 3D Electronic Circuit Printing System (Direct Writing, Maskless Lithography) 21 4 DIMENSIONS AUTOMATED 4‐POINT PROBE 22 4" (100mm) wafer fab for 1 micron geometries 23 4D / Four Dimension 280 Automatic Four Point Probe Meter W/ 280PC Programmer 24 500 Silicon Wafers, various grades, sizes and types 25 6 inch diameter round sputter gun 26 6"x4” Six Ports Stainless Steel Vacuum Chamber Gate Valve Short Cross 2‐3/4” 27 60 Horsepower FRP Chemical Fume Scrubber Blower Exhaust Fan 40,000 cfm at 6" sp 28 6'SCP Global Stainless Steel Wet Sink 3Hot Rinse Fire 29 6‐Way 6” CF Port Cross Stainless Steel High Vacuum Chamber 30 8 inch focused ion beam(FIB) circuit edit practice(test) wafer 31 8' x 8' x 8' Clean room The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 1 sales@semistarcorp.com
  • 2. 32 8” Cross Stainless Vacuum Chamber Leak Adjusttable valve Varian Pump 951‐5106 33 8” Stainless Steel Vacuum Chamber Ion Pump gate Leak valve Varian 951‐5106  34 AAT X30‐F Vertical Format Batch Cleaning Fire Suppression System USED (7580) R 35 AB LASERS 601‐17060 / M‐3 HEAD (AB LASERS) (10200‐090 LASERT / AB LASERS 36 ABB / AUTOCLAVE ENGINEERS WIP7.5‐2205ASPB2 ISOSTATIC PRESS 5500 PSI @ 200F 37 ABM 8" Mask Aligner / Substrates up to 200mm / Refurbished & Guaranteed 38 ABM Mask aligner 39 ABM Mask Aligner UV Exposure System w/ Radiation Power Systems 2110C2 Controller 40 ABM‐407‐1‐S‐CE‐Z1 / WAFER HANDLING ROBOT WITH EXCHANGE / PRI 41 ACCEL COLUMN / ACCELERATOR COLUMN 42 ACCELERATOR SYSTEMS INC D589‐16 / ACCELERATOR VOLT DRIVER, VIISTA VIS / ACCELERATOR  SYSTEMS INC 43 Accent Bio‐Rad Q8 Registration Tool 44 Accord 526 Rigid Disk Cleaner Wafer Cleaner 45 ACCRETECH TSK UF 2000 Prober 46 ACCU‐CUT 3X4SM‐1AE, 12 SPINDLES, 3 CUTTING STATIONS, ETAMIC CM2 MEASURING SYSTEM 47 Accuflex Z‐Axis Controller P/N 1009264 48 Acculogic Sprint 4510 Flying probe tester ESI‐1004 prober 49 ACCURA  100‐6386 / 100‐14980, ACCURA FEI 800, SYSTEM CONTROLLER / MICRION 50 ACE 2011 ACE Kiss 103 Selective Solder Machine 51 AceCo CS33‐155N Aluminum Cathode Upper Electrode Reseller 52 ACRO CHILLER T‐3055DD TOKYO ELECTRON 200‐240 V 50/60 Hz 3 PH R‐507C REFRIGERANT 53 ACRO TEL DAIKIN CHILLER OPERATOR INTERFACE UBRP4C91IN 3D80‐000711Y4 54 AD Tec ADTec TR‐3000‐E13‐MT RF Generator, 3000W, 13.56MHz  55 ADAPTEC 232206‐001 / BOARD, 10332‐11150 REV B / ADAPTEC 56 ADE 6034 Silicon Wafer Thickness / TTV Gauge Warp ADE MICROSENSE 57 ADE 6300 3" ‐ 12" / 300mm Mint Condition Wafer Thickness / KLA‐Tencor 58 ADE 9300 Ultrascan 9300 / Hi & Lo Res / Dual Robot / Light Curtain  59 ADE 9500 STRESS MEASUREMENT 60 ADE Corporation Microsense 6035 Slice Sensitivity Gauge high res 61 ADE Corporation Microsense 6035 Slice Sensitivity Gauge Low res 62 ADE Corporation Microsense 6035 Slice Sensitivity Gauge MicRhoSense 6035‐150 63 ADE Corporation Microsense Slice Sensitivity Gauges high res and Lo Res 8100 64 ADE Microsense, KLA Tencor, Wafer Sight Thickness Gauge , controller Inst. Kit. The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 2 sales@semistarcorp.com
  • 3. 65 Adept Technologies Viper s650 CR Robot 2x end effector heads + 14 day warranty 66 ADIXEN ASM 380 high‐performance leak detector9 67 ADIXEN ATH‐2300M / ALCATEL TURBO PUMP / ADIXEN 68 ADIXEN ATX602742 / ATP/80 TURBO ASSEMBLY W/ VAT 9400X‐XA11‐ADK3 / ADIXEN 69 ADS 1202P / DRY VACUUM PUMP / ALCATEL 70 ADT 7100 Vectus Wafer Dicer ADT Water Recycling System 927 & chiller 71 ADTEC AX‐1000II / AX‐1000AMII GENERATOR 72 Adtec AX‐2000 2000W RF Plasma Generator ver 4.1 Ad‐Tec sputtering system 4400 73 Adtec AX‐2000EUII‐N RF Generator 2KW 13.56 MHz lot of 25 units 74 ADTEC AX‐2000EUII‐N RF Generator Novellus 27‐286651‐00 Used Tested Working 75 ADTEC AX‐2000III RF Plasma Generator 27‐307431‐00 Minor Dent Used Tested Working 76 ADTEC AX‐5000W‐AKT RF Generator, AX‐5000W, 5000W 13.56 MHZ 77 ADTEC AX‐5000W‐AKT RF Generator, AX‐5000W, 5000W 13.56 MHZ. 78 ADTEC AXR‐2000III RF Plasma Generator Novellus 27‐360919‐00 79 Adtec Plasma Technology TR‐3000‐EI3‐MT TR Series 3000W 13.56MHz RF Generator 80 Adtec RF Match Unit AMU‐2000KG‐PML‐ALPHA 81 ADTec TR‐2000‐EI1‐MT RF Generator, 2kW, 13.56MHz 82 Adtec TS‐30 RF Plasma Generator 30,000W / 30kW @ 13.56MHz For Sputtering Source 83 AD‐TEC TX10‐F090‐09‐J RF Generator, TX Series, 1000W, 452796 84 Adtec? Lamb? 27MHZ RF Match Unit AMV‐2000IV3  85 Advance Energy PDW 2200 RF Generator 86 ADVANCE HI‐VOLT VEI9007850 / POWER MODULE SERIES 3000 OL/3000/353/02 / ADVANCE HI‐VOLT 87 ADVANCED 3152352‐123B ENERGY PINNACLE GENERATOR 88 Advanced Controls TruDril 2500 ‐ 5 Spindle Machine 89 Advanced Energy (61300044) Cesar 600W 90 Advanced Energy (AE) MDX‐10 MASTER POWER SUPPLY 3152012‐041, MDX‐052 REMOTE 91 Advanced Energy 1110‐00058, Advanced Energy, 3155031‐037A, RF BIAS MATCH 92 Advanced Energy 12KW Pinnacle 3152363‐004A Power Supply w/ 4 Month Warranty 93 ADVANCED ENERGY 2011‐014‐01 RF GENERATOR POWER SUPPLY 94 Advanced Energy 260,000 Watt Photovoltaic Inverter 95 ADVANCED ENERGY 27‐034212‐00 3155038‐005B RFG 3000 POWER SUPPLY 96 ADVANCED ENERGY 27‐034212‐00, 3155038‐005B /RFG 3000 POWER SUPPLY / ADVANCED ENERGY 97 Advanced Energy 3150110‐000 RF Match, 24 VDC, 3000 Watts, 13.56MHz 98 Advanced Energy 3150110‐000 RF Match, 24 VDC, 3000 Watts, 13.56MHz The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 3 sales@semistarcorp.com
  • 4. 99 Advanced Energy 3150852‐004 RF Generator, VHF 2060, 208VAC  100 ADVANCED ENERGY 3151200‐000 / REV B ION SOURCE SUPPLY 44152‐00 / ADVANCED ENERGY  GENUS 101 Advanced Energy 3151801‐003 Rapid RPS Remote Plasma Source AMAT 0190‐08638 AE 102 ADVANCED ENERGY 3152272‐000 / MDX‐L12 POWER SUPPLY 0190‐70086 / ADVANCED ENERGY 103 ADVANCED ENERGY 3152313‐001A / POWER SUPPLY, DC 12KW W/CE MARK; MDX‐L12M /  ADVANCED ENERGY 104 ADVANCED ENERGY 3152326‐000 F PINNACLE DC MAGNETRON POWER SUPPLY 105 Advanced Energy 3152326‐000B Pinnacle 400V 22A 3PH High Voltage Power Supply 106 Advanced Energy 3152326‐000C Pinnacle 400V 22A 3PH High Voltage Power Supply 107 Advanced Energy 3152326‐000D Pinnacle 400V 22A 3PH High Voltage Power Supply 108 Advanced Energy 3152330‐003B Sparc‐le V 109 Advanced Energy 3152352 MDX RF Power Supply with Metalizer 110 ADVANCED ENERGY 3152412‐119 / DC POWER SUPPLY PINNACLE / ADVANCED ENERGY 111 Advanced Energy 3152412‐233 20kW Pinnacle Power Supply Manufacturer Refurbished 112 ADVANCED ENERGY 3152603‐005 / E‐WAVE RF GENERATOR,3‐CHANNEL, 27‐260607‐00N /  ADVANCED ENERGY 113 ADVANCED ENERGY 3152603‐018 / E‐WAVE 2 CHANNEL POWER SUPPLY 40A 48V / ADVANCED  ENERGY 114 ADVANCED ENERGY 3153107‐000D / E‐CHECK CE IKV INVERTER PCB / ADVANCED ENERGY 115 ADVANCED ENERGY 3155027‐003D / RFG 1250, 660‐024637‐003 WITH CEX CONN. / ADVANCED  ENERGY 116 ADVANCED ENERGY 3155027‐005 C , 660‐024637‐013/ RFG 1250 HALO POWER SUPPLY 117 Advanced Energy 3155031‐011B AZX90 RF Match Network AE AMAT 8330 Plasma Etch 118 ADVANCED ENERGY 3155038‐004A /RFG 3000 POWER SUPPLY 119 ADVANCED ENERGY 3155038‐004A/ RFG‐3000 / ADVANCED ENERGY 120 ADVANCED ENERGY 3155038‐004C / RFG‐3000 RF GENERATOR (EATON 1908570) 121 ADVANCED ENERGY 3155051‐010C / RF GENERATOR 13.6KV, RFG‐5500 10.0KW / ADVANCED  ENERGY 122 Advanced Energy 3155082‐000 RFX600A RF Generator 600W 13.56 MHz 123 Advanced Energy 3155082‐331 RFX600A RF Generator 600W 13.56 MHz  124 Advanced Energy 3155162‐036 3013 L70ZA HV & HR Source 1006721 Navigator 125 ADVANCED ENERGY 3155168‐001 / RF NAVIGATOR +24V, +24VRET AMAT 0190‐15206‐001/  ADVANCED ENERGY The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 4 sales@semistarcorp.com
  • 5. 126 ADVANCED ENERGY 3156110‐013 / GENERATOR LAM 2300 (KIYO CHAMBER) / ADVANCED ENERGY 127 Advanced Energy 3156115‐205 APEX 5513 RF Generator A3F8L000BA114R011S 128 Advanced Energy 3156330‐031 A 3013 Paramount RF Generator, 3000W, 13.56MHz  129 ADVANCED ENERGY 5017‐000‐K RF AUTOTUNNER & CONTROL M# 5022‐000‐E 130 advanced energy 60MHz power supply 131 Advanced Energy 660‐088884‐101 RF Generator, 3156310‐011, AE,  132 Advanced Energy 660‐243024‐005 RF Generator, 3156330‐261, AE, 133 Advanced Energy 660‐244566‐005 RF Generator, 3156330‐262, AE,  134 Advanced Energy 660‐244566‐006‐A RF Generator, 3156330‐262, AE, 135 Advanced Energy 660‐244566‐120 RF Generator, 3156330‐266, AE, 136 Advanced Energy 832‐078421‐006B RF Match 3150309‐006 Rev A  137 Advanced Energy AE 3150273‐005, RF Match, Mercury 10013, Novellus. 138 Advanced Energy AE 3150861‐002 VHF Ovation 35162 3.5kW 162MHz RF Generator 139 Advanced Energy AE 3152470‐100 E Pinnacle 3000 Power Supply, RF, 140 Advanced Energy AE 3152470‐100 Pinnacle 3000 Power Supply, RF Generator, 141 Advanced Energy AE 31550260‐063 Paramount Plus VHF 6060 RF Power Delivery System 142 Advanced Energy AE 3155083‐109 HFV 8000 RF Generator, AMAT 0190‐01873, 143 Advanced Energy AE 3155083‐109 HFV 8000 RF Generator, AMAT 0190‐01873,  144 Advanced Energy AE 3155083‐505 HFV 8000 VARIABLE FREQUENCY GENERATOR, 400/8 VAC 145 Advanced Energy AE 3156011‐002 PDW 2200 RF Generator, LAM 853‐015516‐001, 146 Advanced Energy AE 5034‐003‐B RF Matching Network, MFA Heatsink, 147 Advanced Energy AE A3L1A000BA110A010A Apex 5513 RF Generator, 5.5 kW, 13.56 MHz 148 Advanced Energy AE A3L1A000BA110A010A Apex 5513 RF Generator, 5.5 kW, 13.56 MHz 149 Advanced Energy AE Ascent AMS 30K / 30kW DC Sputtering Power Supply 31520003‐100 150 advanced energy AE MDX 2.5 2500w dc sputtering power supply single phase amat 151 Advanced Energy AE PDX 8000 Power Supply: 3156048‐100 152 ADVANCED ENERGY AE PHOTOVOLTAIC INVERTER (#1419) 153 Advanced Energy AE Pinnacle 20kW 480V DC Power Supply 3152412‐264 0190‐25692 154 Advanced Energy AE Pinnacle 20kW 480V DC Power Supply 3152412‐264 0190‐25692 155 Advanced Energy AE RFX 1250 RF 13.56 MHz Power Generator The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 5 sales@semistarcorp.com
  • 6. 156 Advanced Energy AE RFX 600A 1kW 13.56MHz RF Power Supply/Generator 3155082‐331 A 157 Advanced Energy AE RFX 600A 1kW 13.56MHz RF Power Supply/Generator 3155082‐331 D 158 ADVANCED ENERGY AMAT DC POWER SUPPLY 3152412‐411 20KW PINNACLE 159 Advanced Energy APEX 10 Kw/13 RF Generator ‐ AS IS 160 Advanced Energy Apex 10KW / 13 RF Generator A3H2C200DA130E112D ‐ AS IS 161 Advanced Energy Apex 1513 1.5kW 13.56MHz RF Generator 162 Advanced Energy Apex 1513 RF Generator (315611‐014, 208V 1.5kW 13.56MHz) 163 Advanced Energy Apex 1513 RF Generator, 1.5kW, 13.56 MHz, ~ 374 V, 164 Advanced Energy APEX 3513 RF Generator A3M5K000EA120B001A Rev A  165 Advanced Energy APEX 3513 RF Generator A3M5K000EA120B001A Rev H 166 Advanced Energy ASTRAL bipolar pulsed dc power supply 1000v WITH pinnacle source 167 Advanced Energy AZX90 (M/N: 3155031‐004 C)RF Matching Network 168 Advanced Energy Industries Inc. AE Repair Generator HF APEX 3156116‐003 169 Advanced Energy LF‐10A, 3150010‐001G, 0190‐70100W‐001 wPWRSP RF Generator 170 Advanced Energy LM II 10K RF HV High Voltage Transformer 3152008‐003 AE PE II 171 ADVANCED ENERGY MDX 10 MASTER 3152012‐041AB 172 Advanced Energy MDX 3152011‐000 M RF Power Supply *S8 173 Advanced Energy MDX L12M Power Supply 174 ADVANCED ENERGY MDX PINNACLE POWER SUPPLY 175 ADVANCED ENERGY MDX‐10 MASTER POWER SUPPLY 3152012‐041 AB 176 ADVANCED ENERGY MDX‐10 POWER SUPPLY 2011‐052 177 ADVANCED ENERGY MDX‐10K 3152012‐003 178 ADVANCED ENERGY MDX‐10K MODEL QC1200‐RS‐NL 179 Advanced Energy MDX20K (3152194‐005A) LZ Master Delta Magnetron Drive 180 ADVANCED ENERGY MDX‐30K MASTER POWER SUPPLY MDX30K‐MRC 181 Advanced Energy MDX500 DC Sputtering Power Supply  182 Advanced Energy MDX‐L12‐650 RF Generator 183 Advanced Energy MDXL‐12M, 3152344‐100B, 0190‐76191 Power Supply 184 Advanced Energy MN2150064‐003 RFPP ICP 16L 1600 Watt 40MHz Generator 185 Advanced Energy Navigator 3013 L70ZA 3155162‐036 B 1006721 RF Match  186 Advanced Energy Navigator 3155162‐046 RF Match 1014116 () 187 Advanced Energy Navigator 3155193‐002 B 3013 L80ZA Bias Match  188 Advanced Energy Navigator 3155193‐002 C 3013 L80ZA Bias Match 1006722 189 ADVANCED ENERGY PDW‐2200 / 6011‐002‐A 208V 8.9AMP 3PHASE 3.1KW RF GENERATOR The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 6 sales@semistarcorp.com
  • 7. 190 ADVANCED ENERGY PDX 2500 AE Advanced Energy 27‐293721‐00 MF Generator 3156012‐201 Used  Tested 191 ADVANCED ENERGY PDX 2500 AE Advanced Energy 27‐293721‐00 MF Generator Used Tested  Working 192 Advanced Energy PDX 2500 MF Generator 193 Advanced Energy PDX 3000 MF 3 KW High Freq Generator 3150311‐000 ‐27‐368299‐00 194 Advanced Energy PE II 10K RF Power Supply Generator 3157600‐003 N 195 Advanced Energy PE II 10K RF Power Supply Generator 3157600‐004 W 196 ADVANCED ENERGY PINNACLE 3152417‐228A RF GENERATOR 197 Advanced Energy Pinnacle 8K Power Supply 3152352‐122C 198 Advanced Energy Pinnacle Active Panel 20kW (10+10) Dual Inverter 3152421‐202 A 199 Advanced Energy Pinnacle DC Magnetron Power Supply1 200 ADVANCED ENERGY PINNACLE MDX ‐ 480 V. input 1,500 V. D.C. output. 12 K.V.A. 201 Advanced Energy Pinnacle Plus 10kW 3152436‐358 F Sputtering 202 Advanced Energy Pinnacle Plus Active Panel 10kW 3152442‐104 A Sputtering 203 Advanced Energy Pinnacle Plus Active Panel 10kW 3152442‐104 B Sputtering 204 Advanced Energy RFG 1250 3155027‐000 RF Generator REFURBISHED Assembly 205 Advanced Energy RFG5500 206 Advanced Energy RFPP AM‐30 PMT‐4 3000watt 13.56MHz RF auto‐match MINT 7624105010 207 Advanced Energy RFPP ICP 20P 2000 Watt 27.12MHZ 8511139050 208 ADVANCED ENERGY RFPP RF‐10L 7520713010 1000WATT @ 40.68MHZ POWER SUPPLY 209 Advanced Energy RFPP RF‐30S 13.56MHz RF Generator *MINT* 7520758011 SE095 210 Advanced Energy RFX 600 with ATX 600 M/N 3155002‐022C/3155021‐00B Working pair 211 ADVANCED ENERGY RFX II 3000 212 Advanced Energy Sparc‐le V Pulsing Power Supply Sparc‐le V #4 ‐ 6 mo Warranty 213 Advanced Energy Sparc‐le V Pulsing Power Supply Sparc‐le V #5 ‐ 6 mo Warranty 214 Advanced Energy Sparc‐le V Pulsing Power Supply#7 M/N 3152330‐013 215 ADVANCED ENERGY, AE‐3151801‐001F1‐ REMOTE PLASMA SOURCE 216 Advanced Energy/AE Apex 5513 RF Generator (Part Number: 0190‐11209‐02) 217 Advanced Energy/AE Master (Part Number: 2223‐003‐B) 218 Advanced Microtechnology Optimum 16000 Burn‐In Chamber+ 219 Advanced Plasma Solutions APS Platform Desmear Etchback w/Soren match Freight 220 Advanced Thermal Science MX‐20 Temperature Control System The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 7 sales@semistarcorp.com
  • 8. 221 ADVANCED THERMAL SCIENCES ATS BE AEROSPACE CHILLER MP‐20Y‐GL MP20Y‐GL M‐PAK 222 Advanet Advme7510 SBC Single Board Computer PCB Card Nikon NSR‐S620D Used 223 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015‐492 FOC‐FP Used 224 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015‐493 FOC‐CP Used 225 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015‐494 FOC‐CP2 226 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015‐495 BodySP Used 227 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015‐492 FOC‐FP 228 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015‐493 FOC‐CP 229 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015‐494 FOC‐CP2 230 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015‐495 BodySP 231 Advanet AGpci7508 SBC Single Board Computer PCB Card Nikon 4S015‐496 FPC Used 232 Advanet AGpci7508 SBC Single Board Computer PCB Card Nikon 4S015‐497 KH‐SP Used 233 Advanet AGpci7508 SBC Single Board Computer PCB Card Nikon 4S015‐497 Spare 234 Advanet AGPCi8012 CompactPCI Single Board Computer PCB Card TEL Lithius Used 235 Advantest Agilent Verigy E6978‐69519 Clock Board for 93000 Tester, Ref #39527 236 ADVANTEST BGR‐018822 / BOARD, VIO IFA (T5365) / ADVANTEST 237 ADVANTEST BGR‐026902 /32CH DC (DPU) T5377/ ADVANTEST 238 Advantest BLS‐014873 PLS‐81487388 T6671E Probe Card Performance Card Memory Test 239 Advantest BPS‐030208 Liquid Cooled Processor PCB Card T2000 Module w/Case Used 240 Advantest H4‐410004 BLD‐024486 Programmable Logic Control Vexta A6376‐044 Used 241 ADVANTEST H4‐4135 / PRGM. LOGIC CONTROL VEXTRA W/4‐2 PHASE DRIVES  242 ADVANTEST H4‐4137 / PRGM. LOGIC CONTROL VEXTRA / ADVANTEST 243 ADVANTEST H4‐7047 / M6751AD 250V AC‐24V DC POWER SUPPLY / ADVANTEST 244 Advantest T2000 SoC Test System 245 ADVANTEST T5781ES MEMORY TEST SYSTEM 246 ADWILL RAD 2000 M/8 / LINTEC UV IRRADIATION SYSTEM FOR DICING TAPES / ADWILL The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 8 sales@semistarcorp.com
  • 9. 247 AE 2kW APEX 2013 RF Generator Advanced Energy 3156113‐024 LAM TCP 660‐063437‐003 248 AE 3150275‐007 4MHz Bias RF Match 2.5kW RFPP Advanced Energy 660‐095275‐005 LAM 249 AE 3155086‐102, MATCH RF 12.56MH 2.5KW AZX 90 DOME, SUB, AMAT 1110‐01063 250 AE 3155086‐102A Advanced Energy Dome RF Match AMAT 1110‐01063 Applied Materials 251 AE 3159273‐005 Mercury 10013 RF Match, Novellus 27‐256558‐00 252 AE 5.5kW APEX 5513 RF Generator Advanced Energy A3F2L000BA110R011A CEX F47 253 AE Advanced Energy  RF Navigator AE Advanced Energy 3155126‐011 A RF Match Network  254 AE Advanced Energy  RF Power Products Advanced Energy RFPP ICP 20P 2000 Watt 27Mhz Plasma  Generator 255 AE Advanced Energy 3152422‐110V MDX Pinnacle DC Power Supply (tested working) 256 AE Advanced Energy 3155069‐103 A RF Countermatch 257 AE Advanced Energy 3155162‐037C matching network 10kw 13.56mhz Navigator 10013 258 AE Advanced Energy 3156115‐204 APEX 5513 RF Generator 1011031 (Tested Working) 259 AE Advanced Energy APEX 2013 660‐063437‐003 RF Generator 3156113‐024 B (working) 260 AE Advanced Energy E‐Wave 2 Channel Power Supply MN 3152603‐018 PN 27‐390880‐00 261 AE ADVANCED ENERGY E'WAVE 3152603‐001A GENERATOR 262 AE ADVANCED ENERGY E'WAVE 3152603‐014 GENERATOR 263 AE ADVANCED ENERGY HFG 2501 GENERATOR 3155090‐000 B 264 AE Advanced Energy PE II 3157600‐004 10K RF Power Supply Generator 265 AE ADVANCED ENERGY PINNACLE 20kW GENERATOR M/N 3152412‐233 266 AE Advanced Energy RFPP 7520758010 / RF‐30SWC RF GENERATOR / RFPP 267 AE Advanced Energy RFPP 7620620010 RF Match, AM‐30TFT, 3000 Watts 13.56MHZ 115 VAC,  50/60Hz, 423420 268 AE Advanced Energy RFPP 7621104010 RF Match, AM‐20 PTI, 2000 Watts, 13.56MHz, 115 Volts,  423403 269 AE Advanced Energy RFPP 7622388010 RF Match, AMAT 0190‐66253, 3000 Watts, 13.56MHz,  115VAC, 423361 270 AE Advanced Energy RFPP Advanced Energy RF‐20H RF Generator, 2000W 13.56 Mhz (used tested  working) 271 AE Advanced Energy RFPP AE Advanced Energy ‐ 750000004 RF20P 2000W 3PH 208V 50/60Hz Power  Supply 272 AE Advanced Energy RFPP AE Advanced Energy RF20P 2000W 3PH 208V 50/60Hz Power Supply ‐  7522365011 273 AE Advanced Energy RFPP AE Advanced Energy RF25M 208V 3PH Power Supply 7521968010 660‐ 093818‐002E3 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 9 sales@semistarcorp.com
  • 10. 274 AE Advanced Energy RFPP AE Advanced Energy RF25M 208V Power Supply ‐ 7521968010 ‐ 660‐ 093818‐002E3 275 AE Advanced Energy RFPP AE Advanced Energy RF25M 2500W Power Supply ‐ 7521968010 ‐ 660‐ 093818‐002 276 AE Advanced Energy RFPP AE Advanced Energy RF25M 2500W Power Supply ‐ 7521968050 ‐ 660‐ 096650‐002E1 277 AE Advanced Energy RFPP AE Advanced Energy RF25M 2500W Power Supply ‐ 7521968050 ‐ 660‐ 096650‐002E3 278 AE Advanced Energy RFPP AE Advanced Energy RF25M Power Supply 7521968010 660‐093818‐002  208V/2500W 279 AE Advanced Energy RFPP AE Advanced Energy RF25MWC 2500W Power Supply ‐ 7521968010 ‐ 660‐ 093818‐002 280 AE Advanced Energy RFPP AM‐10L AUTO MATCHING NETWORK 1000 WATTS @ 40.68 MHZ RF  POWER SUPPLY 281 AE Advanced Energy RFPP RF Power Products ‐ RF30S ‐ 13.56 MHz RF Power Amplifier Generator  NN35 282 AE Advanced Energy RFPP RF Power Products 7610807010 AE Advanced Energy RFPP AM‐10  Automatching Network. 283 AE Advanced Energy RFPP RF Power Products Hercules 15013 RF Generator 15kW @ 13.56MHz 284 AE Advanced Energy RFPP RF Power Products Hercules 15013 RF Generator 15kW @ 13.56MHz 285 AE Advanced Energy RFPP RF POWER PRODUCTS LF‐30 RF GENERATOR MODEL 27‐032‐578‐00  7521220051 286 AE Advanced Energy RFPP RF Power Products RF30S Watercooled Generator AE Advanced Energy 287 AE Advanced Energy RFPP RF‐20H 7500000002 SE#100 Watercooled RF Power Generator 2000W  13.56MHz 288 AE Advanced Energy RFPP RF20P 2000W 3PH 208‐240VAC 50/60HZ POWER SUPPLY 289 AE Advanced Energy RFPP RF20R 290 AE Advanced Energy RFPP RF‐30 H RF‐30SWC 7522170011 RF Generator 660‐093816‐001 3KW 13.56  (working) 291 AE Advanced Energy RFPP RF30H RF Generator 7522170170 3000W 208V 13.56MHz (used tested  working) 292 AE Advanced Energy RFPP RF5S 500W RF Generator AE 13.56 Power Supply Plasma 293 AE APEX 1513 Advanced Energy 3156110‐008 LAM 660‐032596‐023 RF Generator TCP 294 AE APEX 1513 Advanced Energy 3156110‐213 LAM 660‐032596‐213 RF Generator TCP 295 AE APEX 3013 , 3kw 13.56 mhz generator 296 AE APEX 3013 RF Generator Advanced Energy 3156114‐003 AMAT 0920‐00107 RF Match 297 AE APEX 3513 RF Generator Advanced Energy A3M5K000EA120B001A AMAT 0920‐00051 298 AE Apex 5500/13 RF Power Generator / P/N : A3F1A060GA110A000A The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 10 sales@semistarcorp.com
  • 11. 299 AE Apex 5513 Advanced Energy Generator 300 AE Cesar 136 RF Generator 61300047 Advanced Energy 600W Power Supply Dressler 301 AE Dressler Cesar 136 RF Generator Advanced Energy 600W 13.56MHz Power Supply 302 AE Dressler Cesar 136 RF Generator Advanced Energy 600W 13.56MHz Power Supply 303 AE MDX‐L6 Advanced Energy 3152317‐000B DC Power Supply AMAT Applied Materials 304 AE MERCURY 10013 AE Advanced Energy 27‐256558‐00 RF Match 3150273‐004 A Used 305 AE Navigator 13.56MHz 8KVP 85A 0190‐19023‐001 / RF MATCH 3155132‐004 B 306 AE Paramount 3013 Advanced Energy 3156330‐015 AMAT 0190‐33822‐002 RF Generator 307 AE PARAMOUNT PLUS VHF 3027 308 AE PDX‐8000 RF Generator / Refurbished with 60 days warranty 309 AE Pinnacle 3000 Magnetron DC Power Supply Advanced Energy 3152470‐100 PNCL 3kw 310 AE Pulsed Plasma PEP‐2500 bipolar dc rf sputter power s 311 AE Repair GEN HF APEX‐053 FW Upgrade 3156115‐253 312 AE RFG 3000 RF Generator / P/N: 3155038‐001 / Refurbished with 60days warranty 313 AE RFPP Advanced Energy RF25M Power Supply 208V‐ 7521968050 ‐ 660‐096650‐002E1 314 AE RFPP Advanced Energy RF25M Power Supply 2500W‐ 7521968050 ‐ 660‐096650‐002E1 315 AE RFX 600A RF Generator 3155082‐200 Advanced Energy Power Supply RFX600A 316 Aera FCP1981CBAXDIAA MULTI ‐ 7 ‐ PI 980 SERIES MASS FLOW CONTROLLER 317 Aerosol Particle Counting System Particle Measuring Lasair 1001‐(11)  318 Aerosol Particle Counting System Particle Measuring Systems Lasair 1001‐(8) 319 Aerosol Particle Counting System Particle Measuring Systems Lasair 510‐(6)  320 AES SD‐302 Temperature Chamber (‐65°C to + 180°C) ‐ 2 Cu.Ft. 321 AES SD‐305 Temperature Chamber (‐65°C to + 180°C) ‐ 5 Cu.Ft. 322 Aetrium 301580 Assembly Parts 5050S IC Handler  323 Aetrium 5050T IC Test Handler, Working, Auto‐Loader, TSSOP 173 mil Kit 324 Aetrium 5050T IC Test Handler, Working, Auto‐Loader/Unloader, TSSOP 173 mil Kit 325 AFFINITY 21736 / PWD‐020K‐CE70CBD CHILLER  326 Affinity 35891 Chiller Heat Exchanger, PAB‐020T‐DD44CBD2, CH6000‐HF 327 AFFINITY 900‐32840‐000 /CHILLER, AFFINITY RAA‐012K‐CE55CBC4 / AFFINITY 328 AFFINITY CHILLERS 30224 / PUMP, T51M SS / LYDALL INDUSTRIES  329 AFFINITY CWA‐200L‐HE‐3LP Chiller The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 11 sales@semistarcorp.com
  • 12. 330 Affinity FAE‐121L‐EE10CAD4 Air Cooled Recirculating Chiller4 331 Affinity FWE‐005J‐CD41CB 20566 Air Cooled Chiller ** 332 AG Associates  HL / SI‐RING, BACK RAD, 8 / STEAG ELECTRONIC SYSTEMS, INC 333 AG ASSOCIATES 410 334 AG Associates 4100/8100/8800 6" Susceptor New Old Stock Lid and Base 335 AG Associates 600059‐06 / PCB, T/C AMPLIFIER / AG ASSOCIATES 336 AG Associates 7100‐5999‐01C / SLIP RING SET RTP ASSY SF CRMSHLD NOTCH 8IN, / STEAG  ELECTRONIC 337 AG Associates 7310‐2841‐02N / TUBE ISOLATION W/LINER, FOR AG HEAT PULSE 8800 810 / METRON 338 AG Associates Heatpulse 210 RTP Rapid Thermal Processor 339 AG Associates Heatpulse 210‐03 Laboratory RTP Rapid Thermal Processor 100mm 340 AG Associates Heatpulse 410 Rapid Thermal Processor Heat Pulse 341 AG Associates Heatpulse 410 RTP Rapid Thermal Processor 342 AG ASSOCIATES RAPID THERMAL ANEALER 343 AG ASSOCIATION HEAT PULSE 8800 OXYGEN ANALYZER 344 AGA‐50B2 / GENERATOR DGP‐120A2‐V DC POWER SUPPLY / DAIHEN 345 AGC ELECTRONIC AMERICA GP1104 SIC BAFFLE HOLDER‐ Silicon Nitride 346 AGC ELECTRONICS AMERICA GP10080‐C01 / COVER,PEDESTAL,SILICON CARBIDE 347 AGILENT  5517D‐C16 / HP AGILENT LASER SEE WATT OUTPUT / AGILENT TECHNOLOGIES, INC 348 Agilent ‐ Keysight B1500A Semiconductor Device Analyzer 349 Agilent (Keysight) HP 3173‐II (HP 3070) Series II HP3070 HP3713 307x hp307x 350 Agilent 10898‐68002 Dual Laser Axis PCB 10898A VME NSR‐S307E  351 Agilent 4022.471.78842 WIPA PPCA VMEbus PCB Card ASML New Surplus 352 AGILENT 81642A OPT 072 1510 to 1640 nm High Power Tunable Laser Module 353 AGILENT 8164B Lightwave Measurement System Mainframe4 354 AGILENT E6978‐26592 E6978‐66492 Verigy Advantest Board for 9300 Tester 355 Agilent E7085‐64400 Temperiertechnik SCO1/16‐40 Chiller 356 Agilent E7085‐64400 Temperiertechnik SCO1/16‐40 Chiller 357 Agilent SCO1/16‐40, P/N E7085‐64400 Cooler for Agilent Versatest/Advantest SOC 358 AGILENT TECHNOLOGIES, INC 8698938R001 / TV 701 SEM TURBO PUMP 54VAC 715HZ 350W 359 Agilent Technologies, Varian HS‐20 Diffusion Pump Housing 360 Agilent U1091AC50 U1051A Acqiris CC105 TC890 PXI 8570 Time‐to‐Digital Converter 361 Agilent varian ts600 scroll dry vacuum pump module anest iwata edwards 362 Agilent Varian VHS‐10 Diffusion Pump47 363 AGILENT VARIAN VSM301 HELIUM LEAK DETECTOR  364 AGILENT VARIAN VSM301 MASS SPEC HELIUM LEAK DETECTOR2 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 12 sales@semistarcorp.com
  • 13. 365 Agilent Verigy E9685‐61051 AWG with Cable, Analog Waveform Generator, Ref #40473 366 Agilent/Varian VS MR15 Portable Helium Leak Detector w/DS302 Pump VSMR151 367 AGL‐Astex MICROWAVE POWER SOURCE RACKMOUNT WITH POWER CORD 368 AGSW999B / SEMVISION CX E‐GUN ASSY VARIAN DIODE / APPLIED MATERIALS AMAT 369 AHL / HOT PLATE DNS SK‐2000 / DNS DAI NIPPON SCREEN 370 Aii ROBO4.11 TPHD Wafer Rotary Single Sided 16" Wet Lapping System +6 Lap Wheels 371 Air Control Inc Microvoid FH‐45D‐10 Polypropylene Acid Fume Hood 372 AIR PRODUCTS 179700 / GASGUARD AP10 CONTROLLER 200033107 373 Air Products 46635 2Cyl Manifold AXO‐AP1510SHM‐HBr HCl 374 Air Products 801 Automated VMB (Valve Manifold Box) HBR Hydrogen Bromide (NEW) 375 AIR PRODUCTS 805‐470820903A , GASGUARD AP3 VEECO INSTRUMENTS IBD‐DS 376 Air Products AP11 3 bottle methane, methane, N2 purge, new cabinet, never used. 377 Air Products Gasguard 250 3%H2/N2 gas cabinet Automated 807 dual panel (NEW) 378 Air Products Gasguard 250 CHF3 gas cabinet Automated 807 dual panel (NEW) 379 Air Products Gasguard 250 SF6 gas cabinet Automated 807 dual panel (NEW) 380 Air Products Schumacher ATCS‐15‐TLC absolute bubbler trans lc, used,as removed 381 Air Scrubber ‐ Viron International 382 Air Scrubber ‐ Viron International 383 AIRCO CV‐8* E‐Beam Evaporator, Power Supply, Leybold Control XTM/2, Vacuum, plus 384 AIRCO TEMESCAL CL‐2A power supply 385 Airco Temescal CV‐14 E‐Beam Electron Beam Power Supply CV14 386 AIRCO TEMESCAL CV8 POWER SUPPLY 387 AIRCO TEMESCAL HRS 2550 SPUTTERING SYSTEM 388 Airgas Engineering Solutions Cabinet 389 AIRPRODUCTS GASGAURD AP10 390 AirProducts Schumacher ATCS‐15 absolute bubbler pocl3 up to 30C,used, as removed 391 AIRSENTRY SYSTEM PARTICLE MEASURING SYSTEMS INC 8590114130 392 Air‐Vac DRS‐20 BGA Rework Station 393 Airvac PCBRM 12 soldering pot for rework 394 Air‐Vac PCBRM‐12 Solder Fountain Through hole rework 395 AITEC CORPORATION VACUUM WAFER TRANSFER ROBOT AR‐WV300 396 Aixtron 100035645 41011232 Trijet Flange 397 Aixtron 10070371 19‐Inch 8K DN2 Telemetry Stationary Unit The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 13 sales@semistarcorp.com
  • 14. 398 AIXTRON 35103‐00 REV.9 CHEMTRACE AIN, HEATER, PURGED ATM S/N 609401 399 AIXTRON 35103‐00 REV.9 CHEMTRACE AIN, HEATER, PURGED ATM S/N 609401 400 Aixtron 40015295 Tri‐Jet Top Plate Adapter Flange 401 AIXTRON GENUS 100028412 GAS BOX AUX TMA RAD 38850‐00 R.2 110002478 S/N 44186‐01 402 AIXTRON GENUS METRON AIN, HEATER ASSY 42075‐00‐004 S/N 628502 403 Aixtron Semiconductor Part ‐ ALD Lid 300mm W/ Flow Ring Mtg Holes 404 AJA Int. Platinum Sputtering Target 99.95% Pure 1.5" Diameter x 0.125" Thick 405 AJA Platinum Sputtering Target 99.95% Pure 1.5" Diameter x 0.125" Thick 406 AKRION / VERTEQ 1096737.3.018 / DUAL FREQ GEN (1084117.18) STG01/17 ONLY / AKRION /  VERTEQ 407 AKRION 110052801 / ROBOT SLIDE FOR HAUSER ROBOT WET SINK (WITH MOTOR) / AKRION 408 AKRION 3270381A‐01/MCS‐E BASE UNIT W/SOLE (UPGRADE) (3270351A) 409 AKS Cutting Systems ACCU‐Kut Model P1560 Plasma Cutter Fabrication 410 ALCATEL 2063C2 VACUUM PUMP 411 ALCATEL 2063CP+ WITH 301 BLOWER PACKAGE REBUILT 412 Alcatel 5900 CP Turbo Pump 413 ALCATEL A300P / ALCATEL IPUP COMPACT PUMPING SYSTEM/ ALCATEL VACUUM PRODUCTS 414 Alcatel Adixen ACP15 Dry Vacuum Pump / Extremely Clean ‐ 4 month warranty 415 Alcatel Adixen AD70KL Dry Vacuum Pump Blower Package 480 V Combination 416 ALCATEL ADIXEN IPUP2 VACUUM PUMP ADP103 417 Alcatel Annecy S.Holder 050483 Sairem BF CE 00757 RexRoth 0 822 123 007 58907 418 ALCATEL ASM 180T HELIUM LEAK DETECTOR Pascal Pfeiffer vacuum pump 1227‐DMI 419 ALCATEL ASM‐180TD Compact High Sensitivity Dry Helium Leak Detector62 420 Alcatel ASM‐181TD Dry Helium Leak Detector33 421 Alcatel ATH 1000 M Turbo Pump w/ Alcatel ACT 1000 M Controller 422 Alcatel ATH‐500M Turbo Pump, Rebuilt by Provac Sales, Inc. 423 Alcatel ATP 80 Turbo pumping station 424 Alcatel TH 1000M Turbo Pump 425 Alcatel/Adixen ATH 2300M Maglev Hybrid Turbo Vacuum Pump DN 250 ISO‐F, 22,000 ls 426 Alcatel/Adixen ATH 2303M Hybrid Magnetically Turbo Pump w/Water Cooling System 427 Alessi / Bausch & Lomb MicroZoom Manual Analytical Probe Station Microscope 428 ALESSI / BAUSCH & LOMB REL‐ 4100A MANUAL ANALYTICAL PROBE STATION MICROSCOPE 429 Alessi Cascade RHM‐06 probe station The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 14 sales@semistarcorp.com
  • 15. 430 Alessi Manual Probe Station Model REL 4100A ‐ 14 Day Right of Return 431 Alessi Manual Wafer Prober Bausch & Lomb Kinetic Vibraplane 1201‐21‐11 432 Alessi REL 3200 433 ALESSI REL 3200 PROBE STATION 434 ALESSI REL 4100 6" PROBE STATION 435 Alessi REL 4500 Probe Station (2MANIPULATORS INCLUDED) 436 Alessi REL‐4500 150mm Manual Analytical Prober6 437 Aline SC‐680‐LR‐D Vacuum Sealer 438 ALLEN‐BRADLEY 1785‐BEM/B BACKUP EXPANSION MODULE 439 ALLEN‐BRADLEY 2711‐T10C9L1 / PANELVIEW 1000, TOUCHSCREEN, COLOR, 24V / ALLEN‐BRADLEY 440 Allesi REL‐3200A Mitutoyo Polarizing Microscope 6" Wafer Inspection Station Part 441 Allied High Tech Products MULTIPREP 442 Allied Motion (Emoteq) SEMITOOL MOTOR (Part #: HT02001‐D03‐HE / T61105‐30) 443 Allied Motion (Emoteq) SEMITOOL MOTOR (Part #: T61105‐30 | HT02001‐D03‐HE) 444 Allied VacuPrep Epoxy Impregnation System, Model: 175‐30000 w/ Mounting Cups 445 ALLSTEEL 1/4‐10 MAX, 1/4" x 10' Fabrication Shear, Ref # 7795791 446 Allwin21 AccuThermo AW 610 Rapid Thermal Process System O2/N2 208V 3P 50A AW610 447 ALPHA METALS 500M Omega Meter ‐ Ionagraph 448 ALPHA METALS OMEGA METER 500M IONIC CONTAMINATION TESTER 449 Alpha Metals/SCS 500M SMD Ionic Contamination Ionograph 12" x 14" PCB 2.7 gal 450 ALPHATEK ADVANCED POLYMER ANALYZER APA 2000 / ALPHATEK 451 ALUMINUM VACUUM CHAMBER 30" X 30" X 40" ID TERRA UNIVERSAL 1590‐60‐49184 452 Alvord Systems ASI XF‐2B Portable Vertical X‐Ray Diffraction System XF‐2 453 Amada 2011 Amada LC3015 F1NT, 5x10, 4KW, Laser  8068182 454 Amada 2017 Amada ENSIS 3015 AJ 3kw 5' x 10' Fiber Laser Fabrication 455 amada HS98 board 71341688 for amada laser 456 AMAT  1110‐01046; APPLIED MATERIALS,NETWORK12.56MHZ 2.5KW AZX 90 DOME R AE 3155086 457 AMAT  1110‐01068 RMN‐40A / NTWRK MATCH RF 12.56MHZ 4KW AUTO‐IMPEDAN / AMAT DAIHEN 458 AMAT  ASSY BEFFER BLADE HP PLUS HTHU 200MM, 0010‐75512 459 AMAT  ASSY MEMORY BD / APPLIED MATERIALS AMAT 460 AMAT  MOTOR DRIVER PCB SCHEM 681720 / APPLIED MATERIALS AMAT 461 AMAT # 0040‐20010 STANDARD BODY PVD PROCESS CHAMBER 462 AMAT 0010‐00304, GRIPPER ASSY 125MM 463 AMAT 0010‐01029 Cap Assy, Poly‐Aluminum Upper, 8120, 8130, 2020342 464 Amat 0010‐01315 Sheild Assy 8" Electra IMP 465 AMAT 0010‐01994 Rev.001, Magnet Assembly, PVD, Endura, Sputter Chamber The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 15 sales@semistarcorp.com
  • 16. 466 AMAT 0010‐02342 Ceramic HEATER, CERMAIC ASSY, 8" DXZ 467 AMAT 0010‐02740, P‐CHUCK ASSY, 150MM, CIP99 468 AMAT 0010‐03002 Assy, Clamp Ring, Lower, 200mm, Pre‐Clean, 0040‐07962,  469 AMAT 0010‐03338 Assy Heater 8" SR OSCR WXZ w/ 0190‐01403 Thermocouple,  470 AMAT 0010‐03344 Heater Assy, 8" JLT .029 AMJ WXZ, 0010‐06237, 471 AMAT 0010‐03370 Heater Chuck w/ Alpha 5 Seasoning & Random Surface Finish  472 AMAT 0010‐03372, WxZ Heater Assy, 8 Inch OSCR Seasoning,  473 AMAT 0010‐03530 Assembly, Diamond Head Carrier,  474 AMAT 0010‐03530 Assy, Diamond C Head Carrier, ASM, 2492‐038, 475 AMAT 0010‐04450 ASSY, SNNF 200MM SUB ZERO BESC W/MCA 476 AMAT 0010‐05047 ASSEMBLY, SUSCEPTOR, 200MM, TIC‐CVD. 477 AMAT 0010‐05256 HEATER DxZ 150MM, 6" Manufactured by CRC 478 AMAT 0010‐09540 Chamber Wall, Wafer Transfer, P5000, 0040‐09136, 479 AMAT 0010‐09819 Assembly SUS 125mm, 4mm Thick, T2 BSE, BMCVD, 480 AMAT 0010‐09924 THROTTLE VALVE ASSEMBLY.  481 AMAT 0010‐09924, Throttle valve assy, dual seal shaft,  482 AMAT 0010‐09935, MONOCHROMATOR MODULE ASSY, ENDPOINT, PRECISION 5000 483 AMAT 0010‐09940 Assembly 8" WSI Gas Box Feedthru, Top Lid, CVD, P5000,  484 AMAT 0010‐10521, XYCARB CERAMICS, Thick, Susceptor 8", T1SABB, SABPSG.  485 AMAT 0010‐10556, MONOCHROMATOR MODULE ASSY, CENTURA 486 AMAT 0010‐10713, Susceptor Assembly, 125mm Wafer, P21, Chamber, 5". 487 AMAT 0010‐10713, Susceptor Assembly, 125mm Wafer, P21, Chamber, 5". 488 AMAT 0010‐10758 Rev.A, DSGD Lid, MXP & MK II Chamber, VCR, Assembly.  489 AMAT 0010‐11994 HEATER, TxZ 150mm, 6" Manufactured by CRC 490 AMAT 0010‐13268 491 AMAT 0010‐13321 ROBOT DRIVE ASSY 8" 492 AMAT 0010‐15257 Assy, Spring Plate w/GVDE, 200mm, DPN, 0010‐15698  493 AMAT 0010‐18100 ULTIMA ESC 200mm, 8" salvaged stock 494 AMAT 0010‐20030 Wafer Orienter Lift Assy w/ Chamber Lid, Notch Finder,  495 Amat 0010‐20221 PVD Magnet Ti 150mm 496 AMAT 0010‐21356 Heater Assy, 8" VCR w/ 2 TCs And Bellows, 0040‐20850,  497 AMAT 0010‐21356 Heater Assy, 8" VCR w/ 2 TCs And Bellows, 0040‐20850,  498 AMAT 0010‐21810 Magnet Assembly, G‐12, Encapsulated, Durasource, 499 AMAT 0010‐21810 Magnet Assembly, G‐12, Encapsulated, Durasource,  500 AMAT 0010‐21810 Magnet Assy, G‐12, Encapsulated Durasource G Type:  501 AMAT 0010‐21958 8" Shield B101 502 AMAT 0010‐28024 Head Sweep Assembly, NSK XY‐FR‐E131178, 300MM, CMP, LK, 503 AMAT 0010‐30025 Assy Lower Gas Line  504 AMAT 0010‐30111 8" Heater Assembly Assembly Heater, 8" DXZ Chamber 5500 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 16 sales@semistarcorp.com
  • 17. 505 AMAT 0010‐30418 8" Heater, WXZ, 200m,  506 Amat 0010‐36631 (0040‐32712) DcSxZ Heater Assy, 200mm 507 AMAT 0010‐36715, EQ Magnet Assembly, 6", 0010‐20675,  508 AMAT 0010‐39337 Assy, Motor Driver Drawer,  509 AMAT 0010‐39736 Lid Assy Clamp, 0021‐03277, 0040‐39619, 510 AMAT 0010‐40280 ROOF TOP, SUB‐ASSEMBLY, CGF, DOS 511 AMAT 0010‐70001 P5000 Front Loader Cassette Handler Assy, Wafer Transfer, 512 AMAT 0010‐70403, G‐12 AFS Lid Assy, PVD, 513 AMAT 0010‐70403, G‐12 AFS Lid Assy, PVD,  514 AMAT 0010‐70792 EMXP+/MXP+ OX, Chamber Lid, Clamp, Chemraz 513, Super E,  515 AMAT 0010‐70792 EMXP+/MXP+ OX, Chamber Lid, Clamp, Chemraz 513, Super E,  516 AMAT 0010‐70792 EMXP+/MXP+ OX, Chamber Lid, Clamp, Chemraz 513, Super E,  517 AMAT 0010‐75278 Casette Assy LLB PA200‐79MDT Corrosion,  518 AMAT 0010‐92209 Gas Module, SDS, Unit (8160MFC) N20 5 SCCM,  519 AMAT 0020‐01995 / HY‐11 MAGNET 300 MM ASSEMBLED WITH 0020‐28491 / AMAT 520 AMAT 0020‐07701 Shield Upper Ti TiN Al Flame Spray Endura sputter Chamber  521 AMAT 0020‐10186, 5000 8" CVD Etch Chamber Lid, 200mm, Gas Box. 522 AMAT 0020‐10811 RING, INSULATOR 150MM EB 523 AMAT 0020‐12396 Top Plate Heater ECP Anneal 300mm,  524 AMAT 0020‐31510 w/ Pedestal, Rimless, OX/MLR NIT, 200mm, F,  525 AMAT 0020‐34478 Rev.P6, Chassis, Plate, Throttle, DPS.  526 Amat 0021‐04921 Coil Electra 1/4" Copper & Under 527 AMAT 0021‐07905 Lower Shield Kit 528 AMAT 0021‐09438 Fan Top Shell, DTCU DPS POLY, 529 AMAT 0021‐09750, Composite Spacer, 6" Semi, Esc, 155mm.  530 AMAT 0021‐2217, Pump Station, Vari‐Tech PS‐105‐1C54, 1/2 HP, 120VAC,  531 AMAT 0021‐81247 Faceplate Sequoia APF 300MM Ai CIP 2, Reflectivity & Flow Tester 532 AMAT 0040‐01761 Endura Pre‐clean Chamber Body, Sputter, PVD,  533 AMAT 0040‐07449 LINER, CHAMBER, DIRECT COOLED, EMAX300MM.  534 AMAT 0040‐08137‐007 Etch Chamber 300mm ESC Cathode RF Inner Filter Assembly 535 AMAT 0040‐08137‐008 Cathode Inner Filter RF Assembly Etch Chamber 300mm ESC 536 AMAT 0040‐09557 Chamber Body, ASP, Centura, Chamber 5000,  537 AMAT 0040‐09723 Unibody, Etch Chamber w/ .397 Step, Poly Trench, 538 AMAT 0040‐18024 E‐Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated,  539 AMAT 0040‐18024, E‐CHUCK, 200MM, MKA, SNNF, CVD, 540 AMAT 0040‐18053 ULTIMA ESC 200mm, 8" Refurbished by CRC 541 AMAT 0040‐18219 LASED, PEDESTAL, 200MM SNNF SML FLT, USED The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 17 sales@semistarcorp.com
  • 18. 542 AMAT 0040‐31780 Base, Cooling 200MM Cathode, DPS MEC, Base Plate 5200,  543 AMAT 0040‐32543 REV.P1 10670800‐199‐00202 544 AMAT 0040‐40815 Heater Mounting Plate, 300mm,  545 AMAT 0040‐41924 ESC ASSY, 200MM SNNF DPS HT CATHODE 546 AMAT 0040‐47677‐018 FACEPLATE DXZ SIN 547 AMAT 0040‐49203 Bowl, 300mm PPR, IEXC, 548 AMAT 0040‐60419, Adapter, Upper, HP SIP SPS Encore 300mm.  549 AMAT 0040‐61366 Metal Etch Chamber, DI Seal, Edge Gas, MXP+, Mark II,  550 AMAT 0040‐82368 DPS Chamber, Top Dome Interface Sapacer Liner, 551 AMAT 0040‐91661, Source Bushing, Litharge.  552 AMAT 0041‐59933 Face Plate, Shower Head, Gas Distribution,  553 AMAT 0041‐89655 Adapter Head Motor Reflexion LK,  554 AMAT 0090‐06456 Chamber Controller 0041‐05806, AS00363‐03 PCB 0090‐02527, 555 AMAT 0090‐09298 ESC ASSY,200MM, NOTCH(2),SHWR, THERM 556 AMAT 0090‐36399 ESC 0021‐38725 PEDESTAL 557 AMAT 0100‐01132 Rev.001, VAL‐001‐1633‐01, PCB Assy, Chamber RF Filter. 558 AMAT 0100‐09246 PCB, ASM SYS I/O Dist BD MK2+ 559 AMAT 0100‐15049 Assembly, Throttle Valve Dual Spring, Direct D, 560 AMAT 0140‐77747 Harness, Robot X Power, Mainframe Controller, 125',  561 AMAT 0140‐77747 Harness, Robot x Power, Mainframe‐Controller 125', 562 AMAT 0150‐35305, Cable Assembly Gas Panel, #1 Umbilical Long, Centura.  563 AMAT 0150‐35305, Cable Assembly Gas Panel, #1 Umbilical Long, Centura.  564 AMAT 0150‐35565 Cable Assembly Gas Panel #1 Umbilical, 55FT, 565 AMAT 0150‐77048 Cable Assembly, HD Robot Controller BH, 566 AMAT 0150‐77049 Cable Assembly, Digital I/O BP TO 567 AMAT 0150‐77052, Cable Assembly, Drivers ENCODL Control. 568 AMAT 0190‐03150 Target Diff Bond TI w/o C'bore Durasource, 569 AMAT 0190‐03150 Target Diff Bond TI w/o C'bore Durasource,  570 AMAT 0190‐09237 SUSCEPTOR ASSY T2WLD 571 AMAT 0190‐09491 200mm Durathon Susceptor, SUSC Assembly T2 Welded, 572 AMAT 0190‐10030 Orion Pel Thermo ETN23A‐SC‐B Heat Exchanger 573 Amat 0190‐15322 DAIHEN RMN‐50N1 RF GENERATOR 574 AMAT 0190‐16013 Exhaust Switching Unit TEC‐05 Kit 1 & 2, ESC‐C2‐5‐X7, 575 AMAT 0190‐20140 Target, TI, Diffusion Bonded, 11.3" x 0.46", Praxair MRC, 576 AMAT 0190‐20140 Target, TI, Diffusion Bonded, 11.3" x 0.46", Praxair MRC, 577 AMAT 0190‐20140 Target, TI, Diffusion Bonded, 11.3" x 0.46", Praxair MRC,  578 AMAT 0190‐20140 Target, TI, Diffusion Bonded, 11.3" x 0.46", Praxair MRC,  579 AMAT 0190‐21122 Cobalt MZ Endura Target, 06‐08132‐00, 20‐472D‐C0000‐1003, 580 AMAT 0190‐21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC, 581 AMAT 0190‐21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC, 582 AMAT 0190‐21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC,  583 AMAT 0190‐21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC,  The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 18 sales@semistarcorp.com
  • 19. 584 AMAT 0190‐22090 WAFER LOADER ORIENTER 585 AMAT 0190‐22159 586 AMAT 0190‐35653, SERIPLEX MUX I/O PCB(1),(016H‐047H) 587 AMAT 0190‐35763 Chamber C Seriplex Control Bus, PCB, 0100‐09287, Centura, 588 AMAT 0190-76048 589 AMAT 0190‐77363 Module, Brush Liquid Delivery, Low Flow,  590 AMAT 0195‐02636 AMPOULE WELDED VALVES 3 LITER SST FLEXSTAR 2G CHAMBER 591 AMAT 0200‐05139 ROBOT BLADE 592 AMAT 0200‐16364, HYT Exhaust Port for WXA Chamber. 593 AMAT 0200‐16478 Robot Blade End Effector, 18.475x5.00x.200THICK,  594 AMAT 0200‐18024 Silicon, Top Barrier Dome HDPCVD,  595 AMAT 0200‐18062 Rev.3, Applied Ceramics 91‐01017A, Dome, 14 ID HDPCVD.  596 AMAT 0200‐20064, 8" PC II QUARTZ INSULATOR 597 AMAT 0200‐35316‐P3 Dome Quartz 4.69" DPS  598 AMAT 0200‐35527 Heater Ceramic, NGK 200mm 599 AMAT 0200‐35706 003 LINER 600 AMAT 0200‐35706 SHADOW RING 601 AMAT 0200‐40130 COVER PLATE, 200, 12 THK 602 AMAT 0220‐21314, Preclean Chamber, D&H‐SIN 014.  603 AMAT 0221‐10192 Unilid w/See‐Thru Window (Sapphire), 0020‐33668, Kit, 604 AMAT 0240‐00723 PIK, TEMP CONTROL REMOTE (NESLAB),  605 AMAT 0240‐26390 Kit, Gamma 2 W/B Chamber Extender, 194mm, 0040‐23486, 606 AMAT 0240‐31726 USG PLIS Pallet w/ Valves and Injectors, Weldment, CH, 607 AMAT 0240‐35314, Kit, Epi or Poly Delivery per Chamber PDC. 608 AMAT 0240‐92743, KIT,INSERT,150MM,0 DEG 609 AMAT 0242‐24101, PIK, NON RF Capable LID, 200mm PMD, PRODU. 610 AMAT 0242‐24101, PIK, NON RF Capable LID, 200mm PMD, PRODU.  611 AMAT 0242‐76387 Kit, Retrofit, Plastic Bearings,  612 AMAT 0290‐01016 Ozone Generator, Onoda OR‐4ZA OzoneRex, 92B19‐11,  613 AMAT 0620‐02619 Cable Assy Robot Signal 18FT, 614 AMAT 0620‐02619 Cable Assy Robot Signal 18FT,  615 AMAT 0620‐02619, 760J100‐6, Cable Assembly, Robot Signal 18FT. 616 AMAT 0660‐00223, Industrial Panel PC, 15" LCD w/ Touchscreen, Advantech.  617 AMAT 0660‐00223, Industrial Panel PC, 15" LCD w/ Touchscreen, Advantech.  618 AMAT 0660‐00223, Industrial Panel PC, 15" LCD w/ Touchscreen, Advantech.  619 AMAT 0660‐00386, Powell Elec, Card Kit Data Acquisition PCI Nanospec90. 620 AMAT 0660‐01857 CARD PENTIUM 133MHZ 128MB RAM VME BUS D 180 day warranty 621 AMAT 0920‐00149 RFG TRUMPF SSM 3000 EtherCAT  TESTED 622 AMAT 105695 / MP ULTRA ROBOT, ARM, AND WOB SENSOR KIT / AMAT The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 19 sales@semistarcorp.com
  • 20. 623 AMAT 1080‐00011, AMAT, MOTOR S32 EXCHANGE ARM DRIVE 624 AMAT 1080‐01267,AMAT,Applied Materials, DRVR SERVO DIGITAL INDEXED 2KW 100‐240VAC 625 AMAT 1110‐01046,3155086‐002 REV A / NTWRK MATCH 12.56MHZ 2.5KW AZX 90 DOME R/ AMAT 626 AMAT 1120‐A0150 LENS‐OBJECTIVE 627 AMAT 1140‐00166 / PSU G2 1KW SPEC, 0190‐04408 HITEK A1028760 / APPLIED MATERIALS AMAT 628 AMAT 1400‐50046, IR‐FB, (AMJ) Sensor, Temperature Fiber Thermometer. 629 AMAT 21016401116 / IND PC PII BOX CELERON A / APPLIED MATERIALS AMAT 630 AMAT 21016401250 / ANORAD X‐Y CONTROLLER / APPLIED MATERIALS 631 AMAT 21016402163/ROBOT CONTROLLER WAC‐204T‐S293/APPLIED MATERIALS AMAT BROOKS PRI 632 AMAT 2805‐738144 / AMAT BELL, POLISH SST ASSY (RAM) / APPLIED MATERIALS AMAT 633 Amat 300mm CMP Megasonic unit 634 AMAT 300mm VHP ROBOT NSK DRIVER 635 AMAT 3030‐00600 / SEC‐7350M HE MASS FLOW CONTROLLER SEC‐7350 STEC / AMAT 636 AMAT 30601610300 / ITU DRIVE ASSEMBLY / APPLIED MATERIALS AMAT 637 AMAT 30712300000 / DUAL ION‐PUMP CONT. ASSY 929‐7003/S003 VARIAN **W/ EXCH** / AMAT 638 AMAT 30712403000 / CDM3B ASSY / APPLIED MATERIALS AMAT 639 AMAT 30712500000 / OPAL MEC‐2 ASSY / APPLIED MATERIALS 640 AMAT 30716500100 / WHC ASSY, OPAL COMPUTER 2101641136 / APPLIED MATERIALS AMAT 641 AMAT 3151801‐004 0190‐13025/HDP,RPS,ATOMIC FLRN. GNRTNG. RPS,RF6000W/APPLIED  MATERIAL 642 AMAT 3210038 / VIDEO SWITCHER SW6 RGBHV / APPLIED MATERIALS AMAT 643 AMAT 3750‐01147, AMAT, MKS Astex FI20166, Tuner 1/4‐Guide WL 2.45 GHZ 3KW Stub 644 AMAT 3870‐02693; AMAT, VALVE GATE 8” PNEUMATIC ACTUATOR CONFLATE UHV SST 645 AMAT 3870‐05190 / EPSILON NOR CAL THROTTEL VALVE WITH INTELLISYS / AMAT 646 AMAT 3920‐00249 510‐20000‐02 DRY NOVA XE ILLUMINATION ASSY 647 AMAT 3920‐00249 Sensor Dry Nova, Xenon Illumination 510‐20000‐03 Assy 648 AMAT 400206 / GALAXY XYZ ANOR AD ISRAEL LTD / APPLIED MATERIALS AMAT 649 AMAT 403379‐XA‐MEGA 5.3 0010‐08928 / CENTURA AP MAINFRAME / AMAT 650 AMAT 4040345 KVR1658 / UVISION 5 POWER SUPPLY INTERFACE BOX / APPLIED MATERIALS AMAT 651 AMAT 4060‐00066 Manifold 3, 3/8" Flaretek, Outgoing, The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 20 sales@semistarcorp.com
  • 21. 652 AMAT 406837‐XA‐MEGA / MEGA MODULE CENTURA AP REV 000/APPLIED MATERIALS AMAT 653 AMAT 50412411000 /FRAME ASSY ETPS/ APPLIED MATERIALS 654 AMAT 50412578300 / BOARD ASSY CLC/INSIGHT (EP70412576000) / APPLIED MATERIALS AMAT 655 AMAT 50414710000 / HVU ASSY, G303/51, A1016640, ADVANCE HIVOLT / AMAT 656 AMAT 50414753000 / CRYO COMPRESSOR / APPLIED MATERIALS 657 AMAT 50416002200 / O2 SYSTEM UNIT ASSY (CMNB014ANT200) / APPLIED MATERIALS AMAT 658 AMAT 50416002300 /O2 SYSTEM UNIT ASSY / APPLIED MATERIALS 659 AMAT 50416002700 / EXCITE CD SEM SILICON GRAPHICS O2 SGI COMPUTER / APPLIED MATERIALS 660 AMAT 50416005200 / SGI O2 OFF‐LINE ASSY / SILICON GRACPHICS 661 AMAT 50416500100 / WTC CAGE ASSY AND 4 PCB / APPLIED MATERIALS 662 AMAT 50416900500 / IPU ASSY VER 4.0 / APPLIED MATERIALS AMAT 663 AMAT 50419700000 / OMP ASSY / APPLIED MATERIALS AMAT 664 AMAT 50515110000/SCAN DO PRO II 1291‐AMT/APPLIED MATERIALS AMAT COMMUNICATIONS  SPECIA 665 AMAT 50516000100 / G2 OCTANE ASSY / APPLIED MATERIALS AMAT 666 AMAT 600366 / AUTOMATIC TEMPERATURE CONTROL / APPLIED MATERIALS AMAT 667 AMAT 600366 / AUTOMATIC TEMPERATURE CONTROL / APPLIED MATERIALS AMAT 668 AMAT 600369 / W AUTOMATIC TEMP CONTRO / APPLIED MATERIALS AMAT 669 AMAT 600422 / ASSY, SCR PACK 50 HZ 7600/7800 / APPLIED MATERIALS AMAT 670 AMAT 600926 Upper Wafer Clamp Ring 200MM Rev2 ‐ New Applied Materials/LAM 671 AMAT 62001899 CHILLER NESLAB THERMOFISHER SCIENTIFIC AMAT 0190‐02175W STEELHEAD3 CHX 672 AMAT 678635 / W PCB, POWER SUPPLY / APPLIED MATERIALS AMAT 673 AMAT 70247‐003221 0020‐21699 /ORIENTER LASER CLASS I / APPLIED MATERIALS AMAT 674 AMAT 70508130000 / LLK ROT.PUMP ASSY 40M3/H / APPLIED MATERIALS AMAT 675 AMAT 70512599000 / FRAME ASSY WITH 5 BOARDS SGS / APPLIED MATERIALS 676 AMAT 711001856 /MAGMOTOR TECHNOLOGIES, 200MM, MAG CONTROLLER/ APPLIED MATERIALS 677 AMAT 9010‐00505 Blade Drive Assembly +/‐15, 678 AMAT 9010‐00739 Focus Clamping Box Assy, 9010‐00739ITL, 0090‐91422ITL, 679 AMAT 9010‐01496 ITL / QUANTUM X HEAT EXCHANGER / APPLIED MATERIALS 680 AMAT 9090‐00202ITL PRE‐AYAMG CONTROL CHASS LEAP2.  The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 21 sales@semistarcorp.com
  • 22. 681 AMAT 9090‐00202ITL PRE‐AYAMG CONTROL CHASS LEAP2.  682 AMAT 9090‐00801 A1031250 / PRE ACCEL POWER SUPPLY QUANTUM 3 / AMAT HITEK POWER 683 AMAT 9090‐00970 / QUANTUMX CONTROL ARCOM 7041‐64012‐004‐101 CONTROLS / AMAT 684 AMAT 9090‐01095 / FAI CONTROLLER CHASSIS QX+ / APPLIED MATERIALS AMAT 685 AMAT 9090‐01127 / AMAT ITL VACUUM ROBOT AMPLIFIER CHASSIS PX42B / AMAT 686 AMAT 9090‐01143 / LASER SENSOR CHASSIS, PX41M / APPLIED MATERIALS AMAT 687 AMAT 9090‐01162 / ENERGY CONTROL CHASSIS, PXP41L / APPLIED MATERIALS AMAT 688 AMAT 9090‐01201 / BEAMLINE VACUUM CHASSIS / APPLIED MATERIALS 689 AMAT 9090‐01331 / CHASSIS , QX+PFS & ARC PSU / APPLIED MATERIALS 690 AMAT 9090‐15270 / INLINE TRANSFORMER, FI ROBOT/ALIGNER / APPLIED MATERIALS AMAT 691 AMAT APPLIED 0010‐03361 MCA+ HTR ASSY, MCA'D JMF 8" 692 AMAT Applied Material 0040‐31996 Heater Assembly New Surplus 693 AMAT Applied Materials (01‐81912‐00 & 01‐81912‐00/C) Analog Interface Units 694 AMAT Applied Materials 0010‐00357 Flat Finder New 695 AMAT Applied Materials 0010‐01231 Assembly LP‐5.1 Mini Magnet New Surplus 696 AMAT APPLIED MATERIALS 0010‐01995 CENTURA THROTTLE VALE NSX XY‐MS0014‐104 697 AMAT Applied Materials 0010‐03323 Heater Assy 8” NHT .029 Extra Wide Pock *new* 698 AMAT Applied Materials 0010‐03324 Heater Assembly 8" WxZ Mod New Surplus 699 AMAT Applied Materials 0010‐03324 Heater Assy 8” NLT. 029 Extra Wide Pock *clean 700 AMAT Applied Materials 0010‐03346 Heater Assy 6” AMJ WXZ ** 701 AMAT Applied Materials 0010‐03346 Heater Assy 6” AMJ WXZ ** 702 AMAT Applied Materials 0010‐04522 200mm Electrostatic Chuck 0040‐08921 New 703 AMAT Applied Materials 0010‐04542M Heater Assy 200M FC WXZPLUS OSCR *refurbished 704 AMAT APPLIED MATERIALS 0010‐04941 MAGNET ASSEMBLY SIP‐CU 300 705 AMAT Applied Materials 0010‐05254 0040‐32148 Heater Assy ** 706 AMAT Applied Materials 0010‐05940 RH‐3 Magnet RP Assembly Refurbished 707 AMAT Applied Materials 0010‐10328 Oxide ESC Shell 200mm 708 AMAT Applied Materials 0010‐11228 300mm Magnet Assembly New Surplus 709 AMAT Applied Materials 0010‐11228 PVD Magnet LP‐3.7.3 300mm Endura New 710 AMAT Applied Materials 0010‐11491 001 Heater *, clean surface* 711 AMAT Applied Materials 0010‐11491 001 Heater *, small ding* The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 22 sales@semistarcorp.com
  • 23. 712 AMAT Applied Materials 0010‐11491 002 Heater *, clean surface* 713 AMAT Applied Materials 0010‐11491 002 Heater *, small ding* 714 AMAT Applied Materials 0010‐14528 Magnetic Source 3 CPI‐VMO Endura  715 AMAT Applied Materials 0010‐15669 200mm Ceramic DPS ESC Electrostatic Chuck New 716 AMAT Applied Materials 0010‐16858 300mm Heater Pedestal SDMAC FDR SLTESC Working 717 AMAT Applied Materials 0010‐19026 STD PVD Degas Chamber Quartz Viewpoint New 718 AMAT Applied Materials 0010‐20331 Dual Degas Magnet Driver Working 719 AMAT APPLIED MATERIALS 0010‐21465 MAGNET ASSY TI DWA SOURCE 1 DURASOURCE 720 AMAT Applied Materials 0010‐22567 PVD Chamber SOURCE 3 CPI‐VMO Rev. 003 As‐Is 721 AMAT Applied Materials 0010‐22568 PVD Chamber SOURCE 4 CPI‐VMO Rev. 001 Endura 722 AMAT Applied Materials 0010‐22568 PVD Chamber SOURCE 4 CPI‐VMO Rev. 003 Endura 723 AMAT Applied Materials 0010‐22569 PVD Chamber SOURCE 4 CPI‐VMO Copper Cu Working 724 AMAT Applied Materials 0010‐22569 PVD Chamber SOURCE 4 CPI‐VMO Rev. 003 As‐Is 725 AMAT Applied Materials 0010‐22569 PVD Chamber SOURCE D CPI‐VMO Rev. 003 As‐Is 726 AMAT Applied Materials 0010‐24357 E‐Chuck Heater Assembly Refurbished 727 AMAT Applied Materials 0010‐25341 PVD Chamber SOURCE 1 CPI‐VMO Copper Cu Working 728 AMAT Applied Materials 0010‐25341 PVD Chamber SOURCE 1 CPI‐VMO Rev. 001 Working 729 AMAT Applied Materials 0010‐25341 PVD Chamber SOURCE 1 CPI‐VMO Rev. 003 As‐Is 730 AMAT Applied Materials 0010‐25341 PVD Chamber SOURCE 1 CPI‐VMO Rev. 004 As‐Is 731 AMAT Applied Materials 0010‐25431 PVD Chamber SOURCE 2 CPI‐AMO Copper Cu Working 732 AMAT Applied Materials 0010‐27417 Magnet Rotation Gearbox Assembly Refurbished 733 AMAT Applied Materials 0010‐27504 PVD Chamber SOURCE 3 CPI‐AMO Copper Cu Working 734 AMAT Applied Materials 0010‐27504 Source Assembly Encore 2 Cu Copper Used 735 AMAT Applied Materials 0010‐27512 Slip Ring RMH A‐110‐2023 Working Surplus 736 AMAT Applied Materials 0010‐29248 TSDA ASSY, STANDARD MANIFOLD, 300MM REFLEXION 737 AMAT APPLIED MATERIALS 0010‐30012 MAGNETIC DRIVE ASSY, MC ROBOT P5000 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 23 sales@semistarcorp.com
  • 24. 738 AMAT Applied Materials 0010‐30421 Heater Assy 8” JHT .029 OSCR WXZ *new surplus* 739 AMAT Applied Materials 0010‐35937 RF Match Assembly Rev. 03 New 740 AMAT Applied Materials 0010‐37386 Center Water Feedthru Source New Surplus 741 AMAT Applied Materials 0010‐37788 Heater Assy Purge 200MM SNNF TXZ BKM *cleaned* 742 AMAT Applied Materials 0010‐42740 ASSY, HIGH EFFICIENCY RF MATCH‐BIAS SIP 743 AMAT Applied Materials 0010‐42745 ASSY, HIGH EFFICIENCY RF MATCH ‐ BIAS 744 AMAT Applied Materials 0010‐93152 Heater Pruge Assy 200MM SNNF TXZ BKM *cleaned* 745 AMAT Applied Materials 0020‐02344 Lower Shield 300mm Non‐Copper  746 AMAT Applied Materials 0020‐02344 Lower Shield 300mm Non‐Copper  747 AMAT Applied Materials 0020‐48303 300mm Cleancoat Lower Shield Cu Refurbished 748 AMAT Applied Materials 0020‐54777 Inner Shield 300mm SIP New Surplus 749 AMAT Applied Materials 0020‐75028 Module Wafer Handling Robot 0020‐60583 Used 750 AMAT Applied Materials 0020‐79039 Spindle Housing Assembly 300mm Working Surplus 751 AMAT Applied Materials 0020‐87791 PLASMA SCREEN Y203 COATED 300MM DPSII ‐Cleaned 752 AMAT Applied Materials 0020‐87791 PLASMA SCREEN Y203 COATED 300MM DPSII‐Repaired 753 AMAT Applied Materials 0020‐99521‐005 Al Alloy Shield Inner eSIP 300mm Used 754 AMAT Applied Materials 0021‐11382 CPI‐VCM Chamber Source 3 Magnet Assembly Used 755 AMAT Applied Materials 0021‐41520 PVD Chamber SOURCE CPI‐AMO Endura 300mm As‐Is 756 AMAT Applied Materials 0021‐43798 Upper NI AL ARC‐SPRAY Shield 300mm PVD New 757 AMAT Applied Materials 0021‐85656 FACEPLATE 300MM H016 XGEN LP 758 AMAT Applied Materials 0021‐86050 INTERNAL MEMBRANE CLAMP, 300MM TITAN EDG 759 AMAT Applied Materials 0021‐96593 Cooling Plate Copper Cu Exposed Working Spare 760 AMAT Applied Materials 0021‐96593 Cooling Plate Working Surplus 761 AMAT Applied Materials 0040‐02609 Magnet Cathode Liner Super‐E Refurbished 762 AMAT Applied Materials 0040‐09098 BLADE LAMINATION ASSY 8" P5000 Robot 763 AMAT Applied Materials 0040‐20850 Heater 764 AMAT Applied Materials 0040‐2149 Heater Assembly New Surplus 765 AMAT Applied Materials 0040‐23891 Heater Assembly Spare As‐Is The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 24 sales@semistarcorp.com
  • 25. 766 AMAT Applied Materials 0040‐32530 IPS Upper Lamp Roof Cooling Plate Used 767 AMAT Applied Materials 0040‐35851 Polyimide Pedestal Rev. A New Surplus 768 AMAT Applied Materials 0040‐36425 200mm Electrostatic Chuck 0021‐37371 New 769 AMAT Applied Materials 0040‐38515 DPS‐P‐ESC Chuck (KAP‐PS08F) 770 AMAT Applied Materials 0040‐79200 CHAMBER UPPER Y2O3 300MM DPS II 771 AMAT Applied Materials 0040‐79200 CHAMBER UPPER Y2O3 300MM DPS II ‐ Cleaned 772 AMAT Applied Materials 0040‐81156 Chamber Upper Liner 300mm DPS2 Cleaned Used 773 AMAT Applied Materials 0040‐85721 Upper Pedestal Kit Rev. 004 Cu Copper Working 774 AMAT Applied Materials 0040‐85721 Upper Pedestal Rev. 003 Cu Copper Working 775 AMAT Applied Materials 0040‐99957 195mm Semi Notch Shell Assembly ESC DPS New 776 AMAT Applied Materials 0041‐00898 PVD Magnet New 777 AMAT Applied Materials 0041‐05749 PUMPING PLATE SICONI 300MM TWIN 778 AMAT Applied Materials 0041‐05925 300mm Ceramic Platen DLC ESC New Surplus 779 AMAT Applied Materials 0041‐32575 300mm Titan Dampened Carrier Cu Refurbished 780 AMAT Applied Materials 0041‐42533 PUMPING PLATE 300MM 781 AMAT Applied Materials 0041‐43374 CAP, INJECT, 2 PORT, ROW EPI, 300MM 782 AMAT Applied Materials 0090‐36276, ASSEMBLY, REACTOR, DPA 783 AMAT APPLIED MATERIALS 0100‐77001 REV P4 PCB ASSY I/O CONTROLLER 784 AMAT APPLIED MATERIALS 0190‐01632 RF ASSEMBLY 72ft COAXIAL CABLE 0190‐01632 785 AMAT APPLIED MATERIALS 0190‐01769 CENTURA RADIANT PLUS RF GEN CABLE 75 FT 786 AMAT Applied Materials 0190‐04213 HDPCVD A/C Distribution Box Centura Ultima 787 AMAT Applied Materials 0200‐03259 Slotted Preheat Ring .15 THK 300mm EP New 788 AMAT Applied Materials 0200‐06405 Ceramic Lid AG1000 Dual Gas Feed 300MM DPS‐New 789 AMAT Applied Materials 0200‐07209 QUARTZ LINER, SLIT VALVE TUNNEL, 300MM EP 790 AMAT Applied Materials 0200‐07363 DALI SHADOW RING,1.75MM COVERAGE 791 AMAT APPLIED MATERIALS 0200‐10297 OBS DOME CERAMIC DPS CHAMBER BOC EDWARDS The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 25 sales@semistarcorp.com
  • 26. 792 AMAT Applied Materials 0200‐89012 SUSCEPTOR, TEMPERATURE CALIBRATION 793 AMAT Applied Materials 0240‐13020 KIT 300MM SINGLE BLADE ROBOT BEARING PM 794 AMAT Applied Materials 0240‐30808 Pedestal Kit 200mm New Surplus 795 AMAT Applied Materials 0240‐42172 KIT, 300MM CORROSION RESISTANT DBR BEARING 796 AMAT Applied Materials 0240‐63364 KIT, FX FOBOT DRIVER BOX 797 AMAT Applied Materials 0242‐44072 KIT, 300MM PCII INNER OUTER SHIELD ASSY 798 AMAT APPLIED MATERIALS 0250‐05622 VHP TRANSFER LID ASSY 799 AMAT Applied Materials 0270‐03361 TOOL, LID LEAK TESTER, 300MM ALD TAN TXZ ASSY 800 AMAT Applied Materials 0270‐05424 FIXTURE, RING LIFT ASSY, SCREW DRIVE, DBR 801 AMAT Applied Materials 1080‐90120 Exchange Arm Motor Assembly 0021‐06737 Used 802 AMAT Applied Materials 1120‐01071 OPT BIFURCATED BDL SPC 210/230N BICON CONN 25' 803 AMAT APPLIED MATERIALS 150864‐50‐61 MIRRA CMP HEAD ASSY 200MM TITAN CONTOUR 804 AMAT Applied Materials 213T0381‐01 WIRE RING ASM 300MM x 1.3MM x R 805 AMAT Applied Materials 219T0958‐05 Hub Backing Plate Vortex Chuck Precision 806 AMAT Applied Materials 219T0970‐01 Bellows Drive Plate 1.6" Trvl Precision Head 807 AMAT Applied Materials 404663 PVD Chamber SOURCE 4 CPI‐VMO Copper Exposed Used 808 AMAT Applied Materials 410891‐P4‐ECHD Magnet Source D CPI‐VMO 0010‐24405 Spare 809 AMAT Applied Materials 410919‐P4‐SYAC System Power Controller Endura 300mm New 810 AMAT Applied Materials 419047R3‐EY‐ZCHD CPI VMO Chamber D Spare 811 AMAT Applied Materials 5000 CVD Configured Chamber Direct Drive A079C 812 AMAT Applied Materials 633005261 PVD Chamber Source Copper Exposed As‐Is 813 AMAT APPLIED MATERIALS 72 FT. CABLE P/N 0190‐01378 814 AMAT Applied Materials 7326 B Process Chamber Precision 5000 ETCH P5000 Spare 815 AMAT Applied Materials 8330 8300 6"/150mm Metal Etcher Semi Tool 816 AMAT Applied Materials 9010‐00299 Quantum Process Module Load Lock Door Used 817 AMAT Applied Materials 9090‐00442 Decel PSU Resistor 9010‐01409ITL Rev. C Used 818 AMAT Applied Materials 9090‐00442 Decel PSU Resistor 9010‐01409ITL Rev. D Used The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 26 sales@semistarcorp.com
  • 27. 819 AMAT Applied Materials 9090‐00923 Processor Vacuum Control Rev. B Quantum X Used 820 AMAT Applied Materials 9090‐01095 Controller Chasis Rev. A  821 AMAT Applied Materials 9090‐01144 Processor and Sensor Rack Quantum X Used 822 AMAT Applied Materials 9091‐00639 Electrostatic Chuck DC Power Supply Used 823 AMAT Applied Materials 90K CPU Processor Board PCB AKT Division  824 AMAT Applied Materials 912C0115‐05 Tank Control I/O Block UNHTD 2 TKS SST ANALOG 825 AMAT Applied Materials Al203 Coated Upper Chamber (R) DPS Poly Refurbished 826 AMAT Applied Materials AM9090‐00923ITL Processor Vacuum Control Chassis Used 827 AMAT Applied Materials ASSY 6" HEATER VCR W/2TC 'S 0010‐70252 828 AMAT APPLIED MATERIALS CENTURA 300MM LINEAR PLATFORM PCB 0100‐02292 USED 829 AMAT Applied Materials Chamber 3 Source Copper Exposed Untested As‐Is 830 AMAT Applied Materials Chamber 4 Source Unmarked Untested As‐Is 831 AMAT Applied Materials Controller Interface Assembly Control IGL SCR Chamber NEW 832 AMAT Applied Materials DP‐COMP CAGE ASSY 0090‐A1230 7 Cards Power Control 833 AMAT Applied Materials DP‐COMP CAGE ASSY 0090‐A1240 7 Cards Power Control 834 Amat Applied Materials Endura 300mm PVD Chamber W/ Choice of 300mm MCA LTE ESC 835 AMAT Applied Materials Inspection Chamber 200mm Excite Particle Detection System 836 AMAT Applied Materials M8‐0609‐04 Upper Chamber 837 AMAT Applied Materials Pick and Place Robot ITU Assembly VeraSEM  838 AMAT Applied Materials Plasma Stir Stirring Magnet Assembly 839 AMAT Applied Materials Precision 5000 Nitride PARC PECVD System P5000,  840 AMAT Applied Materials Quantum Leap 3 Process Module Wheel  841 AMAT Applied Materials Quantum Leap III Process Module Wheel  842 AMAT Applied Materials RE11125640 ACC Column Rev. D Varian E11125640 Refurbished 843 AMAT Applied Materials SMG Mainframe Wafer Robot 4", 5" 0010‐35990R 844 AMAT Applied Materials Wrist and Blade Assembly 0040‐03667 0021‐76773 Centura 845 AMAT ASSEMBLY, HEATER, 200MM MGF2 COATED, NGK, 0010‐05190(0190‐04207) 846 AMAT ASSY BEFFER BLADE HP PLUS HTHU 200MM, 0010‐75512 847 AMAT ASSY HIGH EFF RF‐BIAS W/FILTER PCB AMAT# 0010‐33724  848 AMAT AUTOMATIC TEMPERATURE CONTROL APPLIED MATERIALS 849 AMAT CDSEM 70202300000 Internal Gate Valve for SEMVision Cx 850 AMAT CENTURA DXZ CHAMBER The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 27 sales@semistarcorp.com
  • 28. 851 AMAT Centura Ultima X 0010‐08687 HDP‐CVD 300mm Chamber B 0010‐11586 Working 852 AMAT Chamber Endura Centura Assembly Incomplete / Applied Materials 853 AMAT CWxZ Ceramic Heater, 200MM, 0040‐46818(ASSY 0010‐10252) 854 AMAT DC5000 ‐ AMAT ‐ PRECISION 5000, DC POWER SUPPLY, 855 AMAT DCG‐100Z Optima ENI SPR S03‐111 DC Plasma Generator AMAT 0190‐14212 Refurbished 856 AMAT DCG‐200Z ENI DC22S‐Z022000010A AMAT 0190‐08034 Damaged Breaker Tested Working 857 AMAT DCG‐200Z ENI DC22S‐Z022000010A DC Plasma Generator Rev. A 0190‐08034 Tested 858 AMAT DCG‐200Z ENI DC22S‐Z022000010A DC Plasma Generator Rev. F 0190‐08034 Tested 859 AMAT DCG‐200Z OPTIMA ENI DCG‐100Z‐00 DC Plasma Generator AMAT 0190‐10114 Refurbished 860 AMAT DETECTOR CRYO COOL THERMO‐NORAN T2108‐01‐01‐14 CRYOTIGER COLD END D‐6432R 861 AMAT EFF RF‐BIAS W/FILTER AMAT# 0010‐26180 Used  862 AMAT e‐MAX RF Match 0010‐39207 Applied Materials High Efficiency Matching Tuner 863 AMAT ENDURA 714 330234 004 LIFTER 864 AMAT ENDURA MAGNETIC VHP ROBOT HUB & ARM 0240‐75090 APPLIED MATERIALS SEMI PART 865 AMAT EPO810AF5‐05, 1080‐00054 / MIRRA MEGATORQUE DRIVE AMAT SET MOTOR & DRIVE /  AMAT 866 AMAT ESC Assy, 300MM, Dual Electrode 0040‐63476 0010‐16392 867 AMAT ESC, TESTED, 150MM JMF, HDPCVD, ULTIMA #0040‐18136 868 AMAT ETCH RF Match R2 0010‐30094 AMAT Rev. 002 Rev: B 869 AMAT ETO HDPCVD RF Generator Rack, Source Generator, AMAT HDP 870 AMAT HARP Faceplate OM 0041‐25016 871 AMAT HE RF MATCH‐BIAS W/FILTER PCB,300MM E2 # 0010‐26180 Used 872 AMAT HTR 0140‐09719, HARNESS ASSY, HTR POWER, WXZ P5000  873 AMAT Integrated Circuit Support Amat # 0200‐36697 Silicon Ring 200MM 874 AMAT LAMP MODULE #1 RH / APPLIED MATERIALS AMAT 875 AMAT M‐100 LUXTRON OPTICAL FIBER TEMPERATURE CONTROL SYSTEM 100C AMAT 0190‐03571 876 Amat Magnet Assembly 0010‐20223 C,11.3" TiN w/Rem Assy 'M' Made by Magic PVD CVD 877 AMAT Magnet, PVD, 878 AMAT MIRRA HEAD CMP APPLIED MATERIALS 879 AMAT MKS INSTRUMENTS FPA UNIT 0190‐24854 REV1.8 VERY CLEAN 880 AMAT MN3150058‐002 0920‐01048 / GEN RF 12.56MHZ 3PH 2000W / ADVANCED ENERGY AMAT 881 AMAT Opal 320‐250326 System Control SBC Board Assembly AMAT SEMVision cX  882 AMAT Opal 320‐250326 System Control SBC Board Assembly AMAT SEMVision cX  The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 28 sales@semistarcorp.com
  • 29. 883 AMAT P5000 CVD 884 AMAT P5000 Etch Chamber Lid Cover, Gas Distribution, 885 AMAT P5000 Etch Chamber Lid Cover, Temperature Control, Gas Distribution,  886 AMAT P5000 MarK II MXP POLY 887 AMAT P5000 SPUTTER Process Kit. AMAT, Used, Individually Cleaned and Sealed. 888 AMAT P5000 TRANSFER CHAMBER ON MOBILE VARIAN CART 889 AMAT PECVD CHAMBER BASEPLATE 208V 2000W CAST ALUMINUM PEDISTAL HEATER 0041‐45761 890 AMAT Power Controller 02‐83408 Rev. B PVD Driver Applied Mat PS05100161 SCR 891 AMAT PT56Z0Z00 3620‐00469 / EDWARDS, SCU‐750 TURBO CONTROLLER / AMAT 892 AMAT PVD 0010‐20287 & 0010‐20288 Handler, Assy. LT & RT ( 1 pair) 893 AMAT Quantum I/II/III High Current ion Implanter Wheel Assy. 894 AMAT RF Power 7621482040 RF Match, AMAT 0190‐71100, 5000 Watts, 13.56MHz, 895 AMAT U‐AFA2M‐DUV / OLYMPUS MICROSCOPE ACTIVE AUTO FOCUS UNIT/ AMAT 896 AMAT UKA0003E / ELECTRICAL BOX ASSEMBLY QUANTUM X / APPLIED MATERIALS AMAT 897 AMAT Ultima 200MM ESC PART#0040‐18219 8inch 898 Amat Varian Particle Beam Scan Amplifier Assy 0342‐0678 899 AMAT VHP Robot 300mm full set 900 AMAT XR Implant Pre Accel/Amag Control Chassis 0090‐91436 901 AMAT  ENDURA  714 330234 004 LIFTER 902 AMAT# 0190‐76043 Synergy Microsystems V440 SBC PCB, 68040 CONTROLLER 903 AMAT# 0200‐00295 Base Ring IPS Silicon Source, 30 Gas Holes .243 Thick 904 AMAT, 0040‐37359, HEATER CERAMIC 200MM TI‐XZ 905 AMAT, AE Advanced Energy PDX 900‐2V, Brand New 906 AMAT, Applied Materials 0090‐03467 PCB SBC Board, Synergy V452.Condition is Used 907 AMAT, Applied Materials, 0010‐02616, Assy sym gas ring 24 ports 908 AMAT, Applied Materials, 0010‐03349 (0010‐30421) 909 AMAT, Applied Materials, 0010‐06598, Ceramic Heater 910 AMAT, Applied Materials, 0010‐21676 PVD Endura IMP vectra Magnet 911 AMAT, Applied Materials, 0010‐36408, RF match DPS 912 AMAT, Applied Materials, 0010‐76149, HTHU Heater 6" USED 913 AMAT, Applied Materials, 0020‐37714, TOOL,LEAK CHECK KIT ,RTP CHAMBER 914 AMAT, Applied Materials, 0040‐32205, Cathode Base 150mm 915 AMAT, Applied Materials, 0040‐33701, Heater Block Insert Ultima 1.5 new 916 AMAT, Applied Materials, 0040‐41776, Face Plate 300mm, Producer TEOS 917 AMAT, Applied Materials, 0090‐76133, PCB SBC Board, Synergy V452 918 AMAT, Applied Materials, 0190‐01371, Bias match AE, Ultima HDP CVD The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 29 sales@semistarcorp.com
  • 30. 919 AMAT, Applied Materials, 0190‐35961, OBS CALIBRATED OPTICAL SENSOR/CABLE 920 AMAT, Applied Materials, 0200‐36118, EDGE RING, 200MM WAFER, BATCH COATED 921 AMAT, Applied Materials, 0200‐36120, ADAPTER RING, 150 MM WAFER, SI BATCH COA 922 AMAT, Applied Materials, AE 3155031‐014, 0190‐11225, Match Bias, Ultima HDP 923 AMAT, Applied Materials, Assembly Bearing 6" & 8" HEWEB, NEW 924 AMAT, Applied Materials, Centura 5200, CVD chamber 6" config 925 AMAT, Applied Materials, HDP CVD Ultima lid 926 AMAT, Applied Materials, Producer 0200‐39289 Isolator TEOS pumping ring 200mm 927 AMAT, Applied Materials, PVD Endura Heater, 0010‐17665 928 AMAT, Applied Materials,0100‐00645, 0100‐01097, PCB slit valve inter 929 AMAT,Applied Materials, 0010‐03087, TTW Mounting Assy Monitor 930 AMAT,Applied Materials,0040‐33998, 0021‐09104,0021‐06092, 0200‐00410, 0200‐76068 931 AMAT/Applied Materials PN: 3870‐00361 Cold Trap Drain Assy W/Tank 932 AMAT3540‐01082 / 8IN HEATER ENDURA PVD / APPLIED MATERIALS AMAT 933 American Plasma Tech Evaporator / Vacuum Chamber 22" x 27.5" x 20.5" 934 AMETEK ROTRON HEAT EXCHANGER 2068‐03 0195‐06894 HEAT EXCHANGER W/FAN BELLMOUTH 935 AMI Presco 465 Screen‐Printer 936 AMI Presco Model 465 Screen Printer SN 702466 937 Amistar AI‐6448 Axial Inserter with extras! Dynapert Westamp Gateway Pima Gast 938 AMP MY500 AMP POM Unit L‐039‐0233 939 AMR SEMI AUTOMATED WET BENCH Model # 5FT‐APS‐PVCC 940 Amray  13" x 13" x 13.5" SEM Amray Field Emmission Scanning Electron Microscope 941 Amray Scanning Electron Microscope SEM 3800L Intel WORK 942 Amtec XRF 940 V X‐ray Fluorescence Coating Process Measuring System 943 Anatech Hummer 6.2 Benchtop Sputtering Coater System 944 ANATECH HUMMER 8 DESKTOP SPUTTERING SYSTEM 945 Anatech Hummer VII Sputtering System VII with Vacuum Pump with 4 Month Warranty 946 Anatech SP100 Plasma Asher / RIE Reactive Ion Etcher, 6" barrel TESTED! 947 Anatech SP100 Table Top Plasma System9 948 ANDEEN‐HAGERLING AH 2700A 50 Hz‐20 kHz Ultra‐precision Capacitance Bridge WORKS 949 ANELVA C7100 950 Anelva Sputtering Unit; Anelva P‐876CA‐B Cryopump, Compression Unit And More 951 Angstrom Sciences Sputtering Cathode with Aluminum Target, 8" x 3" 952 Angstrom Sun SE200BM Spectroscopic Ellipsometer 953 Angstrom Sun Technologies Spectroscopic Ellipsometer The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 30 sales@semistarcorp.com