SlideShare a Scribd company logo
1 of 36
Download to read offline
1
Verilog-HDL 講習会DE0編(5)
組合せ回路記述(続)
12, June, 2013
鹿児島大学
中原 啓貴
2
•  ピン配置が面倒くさいので、デフォルト
のプロジェクトを読み込みましょう!
(DE0に限った事ではないが、Terasic製品はサンプルCD-ROMの
プロジェクトを改変するのが楽でお勧め)
DE0付属のCD-ROM
Demonstrations 内の
"DE0_Top"フォルダの
ファイル全てを
C:¥verilog¥DE0_tutorial_5¥
内にコピー
DE0_TOP.qpfをダブルクリック
してQuartus IIを起動
3
Pin Plannerを開いてみると
ピン配置が終わっている!
Verilog-HDLを入力
4
ダブルクリック
Verilog-HDLの
テンプレートが
表示されるので
コードを入力
コード入力したら保存を忘れずに!
5
課題: 信号選択回路 (2-MUX)
s x1 x2 y
0 0 0 0
0 0 1 0
0 1 0 1
0 1 1 1
1 0 0 0
1 0 1 1
1 1 0 0
1 1 1 1
2-MUX
x1
x2
y
s
選択信号 s が0のときは x1 を選択,
s が 1 のときは x2 を選択する回路
6
信号選択回路 (2-MUX) の
MIL記号による表現
2-MUX
x1
x2
y
s
選択信号 s が0のときは x1 を選択,
s が 1 のときは x2 を選択する回路
y = s x1 v s x2
x1
x2
s
y
SW[0]
SW[1]
SW[2]
LEDG[0]
LEDG[0]
SW[2]
SW[1]
SW[0]
7
2-MUXのVerilog-HDL記述
(MIL記号図ベース)
シミュレータの指定
(プロジェクト毎に1回行えばよい)
8
デバイス名(ここではCyclone III)を
右クリックして「Settings...」を選択
9
"EDA Tool Settings"の
"Simulation"を選択
"Tool name"で"ModelSim-Altera"を選択
"Format for output netlist"で"Verilog-HDL"を選択
10
合成の実行
クリック
コンピュータ (Quartus II)に
I/Oの設定を読み込ませる
ために合成を行います。
"Full Compilation was succesful"と
表示されればOK
テストベンチのテンプレート生成
11
「Start Test Bench
Template Writer」を選択
テストベンチの読み込み
(プロジェクト毎に1回行えばよい)
12
シミュレータ指定と
同じく、Settingsを呼び出し、
"EDA Tool Settings"の
"Simulation"を選択
"Compile test bench"にチェックをつけて、
Test Benchesをクリック
テストベンチの読み込み
13
"New"をクリック
"Test bench and simulation files"
の File name 内の「...」をクリック
テストベンチ名と
トップモジュール名の設定
14
テストベンチ名はプロジェクト名(ここではDE0_TOP)
テストベンチのトップ・モジュール名は
テンプレート生成をしていれば
「テストベンチ名」+「_vlg_tst」
Add をクリックして
プロジェクトに
テストベンチを追加
あとは全てOKでよいです。
ModelSim(Altera版)の起動
15
クリック
Library をクリック
テストベンチ DE0_TOP_vlg_tst を
右クリック→Editを選択
16
テストベンチ記述
赤枠で囲った部分を記述
整数を宣言(テストベンチのみ使える).
整数は32ビットです。つまり、 reg [31:0]i ;と同じ.
iを初期化
for文はテストベンチのみ使えます.
ただし, C言語のような i++ は使えません!
コメントアウト
17
シミュレーション結果の確認
保存して, 「Recompile」を
行った後「Simulation」で
シミュレーションを設定.
SW[2]∼SW[0], LEDG[0]を
Waveウインドウに登録して、
10nsシミュレーションを実行
してみよう.
18
合成の実行
クリック
Quartus IIに戻って
合成しましょう
"Full Compilation was succesful"と
表示されればOK
19
FPGAをPCに接続し、
電源を入れます
1. ACアダプタを接続
2. USBケーブルを介して
PCとFPGAボードを接続
3. 電源スイッチをオン
20
Programmer (書き込みツール)の起動
クリック
21
コンフィギュレーションを開始
USB-Blaster, JTAGモード, Programにチェックを確認
確認したら
Start を
クリック
Progress に "100%(Successful)"
と表示されれば成功
22
スライドスイッチSW2 がオフのときは
LEDGがSW0と同期して点滅,
SW2がオンのときはLEDGがSW1と
同期して点滅するかチェック
動作確認
シミュレーション結果と一致しましたか?
23
2-MUX のVerilog-HDL記述
(条件演算子)
以前の記述をコメントアウト
以下を入力
24
解説
•  Verilog-HDLのコメントアウト
‒ 「//」:一行をコメントアウト
‒ 「/* */」:複数行をコメントアウト
C言語と同じです
•  条件演算子
assign 信号名 = ( 条件文) ? Val真 : Val偽;
(Val真…真のときに代入される値
Val偽…偽のときに代入される値)
※多ビットの条件、値の代入も可能
assign s[2:0] = (a[1:0] < 2'b10 ) ? 3'b010 : 3'b111;
25
シミュレーションしてみましょう
同じ結果になったはずです
26
スライドスイッチSW2 がオフのときは
LEDGがSW0と同期して点滅,
SW2がオンのときはLEDGがSW1と
同期して点滅するはず
DE0ボードに書込んで動作確認
同じ動作になりましたか?
27
複雑な条件式の記述
•  条件演算子や論理式
‒  複雑な条件分岐の記述は困難
‒  可読性が悪い
•  If文を使いたい!
assign f = ( c1 == 1'b0) ?
( ( c2 == 1'b0) ? 2'b00 : 2'b01)
: ((c2 == 1'b0) ? 2'b10 : 2'b11);
if( c1 == 1'b0) {
if( c2 == 1'b0) {
f = 2'b00;
} else {
f = 2'b01;
}
} else {
if( c2 == 1'b0) {
f = 2'b10;
} else {
f = 2'b11;
}
}
28
Function文の例
以前の記述をコメントアウト
ここを記述
セミコロン
セミコロン不要
29
解説
•  Function文
‒  関数のようなもの
‒  モジュール呼び出しと異なり、モジュール内に記述
•  呼び出し: assign = (Function名)(ポート・リスト);
•  関数:
function (ビット幅)(Function名);
(ポート宣言);
(関数記述, if文など)
代入は assign 不要. ただし, (Function名)=(値);
endfunction
癖がある記述なので、たくさん書いて慣れよう!
C言語の中括弧「 { 」「 } 」は
「begin」「end」になります
変数の順序が一致
しなければならない!
30
シミュレーションしてみましょう
同じ結果になったはずです
31
スライドスイッチSW2 がオフのときは
LEDGがSW0と同期して点滅,
SW2がオンのときはLEDGがSW1と
同期して点滅するはず
DE0ボードに書込んで動作確認
同じ動作になりましたか?
32
ルック・アップ・
テーブル
(真理値表記述)
ここを記述
以前の記述を
コメントアウト
(/* */ を使ってみよう)
論理合成
↓
シミュレーション
とFPGAで
動作を確認する
複数の信号は
「{ }」でまとめる
33
解説
•  Case文:真理値表を記述
Function文に使える
‒ もう一つの使用法は後日します
case ( 変数リスト(複数記述してもよい))
(一致する値): (Function名) = (値);
endcase
全ての組合せを記述
複数記述するときは「{ }」を使う
34
まとめ
•  複雑な組合せ回路を記述する方法
‒ 論理式: 記述量が少ないが可読性が悪い
•  算術演算も記述可能(全加算器を設計しましたよね)
‒ 条件演算子: 単純な条件演算の記述に向く
•  複雑な条件演算には向かない
‒ Function文
•  If文:記述量が多いが可読性がよい
•  Case文:最も記述量が多いが、設計・可読性に優れる
目的の回路に応じて適切な記述を選択するのが
設計者の仕事(センス)
35
課題1
•  デマルチプレクサを論理式, 条件演算子, If文,
case文で記述し、シミュレーションとFPGAで
動作を確認せよ
De-MUXx
s
y[0]
y[1]
s x y[0] y[1]
0 0 0 0
0 1 1 0
1 0 0 0
1 1 0 1
課題2
•  スライドスイッチ(3ビット)の値を
7セグメントLEDに表示せよ
(注意: 7セグメントLEDは負論理、つまり0のとき点灯,1
のとき消灯する)
36
OFF, OFF, OFF OFF, OFF, ON ON, ON, ON

More Related Content

What's hot

モデルアーキテクチャ観点からのDeep Neural Network高速化
モデルアーキテクチャ観点からのDeep Neural Network高速化モデルアーキテクチャ観点からのDeep Neural Network高速化
モデルアーキテクチャ観点からのDeep Neural Network高速化Yusuke Uchida
 
社會網絡分析法軟體-NodeXL教學-郭迺鋒與林崑峯-三星課程網2013
社會網絡分析法軟體-NodeXL教學-郭迺鋒與林崑峯-三星課程網2013社會網絡分析法軟體-NodeXL教學-郭迺鋒與林崑峯-三星課程網2013
社會網絡分析法軟體-NodeXL教學-郭迺鋒與林崑峯-三星課程網2013Beckett Hsieh
 
Singularityで分散深層学習
Singularityで分散深層学習Singularityで分散深層学習
Singularityで分散深層学習Hitoshi Sato
 
Introduction to OpenCL (Japanese, OpenCLの基礎)
Introduction to OpenCL (Japanese, OpenCLの基礎)Introduction to OpenCL (Japanese, OpenCLの基礎)
Introduction to OpenCL (Japanese, OpenCLの基礎)Takahiro Harada
 
VPP事始め
VPP事始めVPP事始め
VPP事始めnpsg
 
Mqttの通信を見てみよう
Mqttの通信を見てみようMqttの通信を見てみよう
Mqttの通信を見てみようSuemasu Takashi
 
OpenStack検証環境構築・トラブルシューティング入門 - OpenStack最新情報セミナー 2014年8月
OpenStack検証環境構築・トラブルシューティング入門 - OpenStack最新情報セミナー 2014年8月OpenStack検証環境構築・トラブルシューティング入門 - OpenStack最新情報セミナー 2014年8月
OpenStack検証環境構築・トラブルシューティング入門 - OpenStack最新情報セミナー 2014年8月VirtualTech Japan Inc.
 
OpenAI FineTuning を試してみる
OpenAI FineTuning を試してみるOpenAI FineTuning を試してみる
OpenAI FineTuning を試してみるiPride Co., Ltd.
 
An Introduction of DNN Compression Technology and Hardware Acceleration on FPGA
An Introduction of DNN Compression Technology and Hardware Acceleration on FPGAAn Introduction of DNN Compression Technology and Hardware Acceleration on FPGA
An Introduction of DNN Compression Technology and Hardware Acceleration on FPGALeapMind Inc
 
バイナリニューラルネットとハードウェアの関係
バイナリニューラルネットとハードウェアの関係バイナリニューラルネットとハードウェアの関係
バイナリニューラルネットとハードウェアの関係Kento Tajiri
 
データ爆発時代のネットワークインフラ
データ爆発時代のネットワークインフラデータ爆発時代のネットワークインフラ
データ爆発時代のネットワークインフラNVIDIA Japan
 
OPC UAをオープンソースやフリーのソフトで遊んでみた
OPC UAをオープンソースやフリーのソフトで遊んでみたOPC UAをオープンソースやフリーのソフトで遊んでみた
OPC UAをオープンソースやフリーのソフトで遊んでみたミソジ
 
BERTをブラウザで動かしたい! ―MobileBERTとTensorFlow.js―
BERTをブラウザで動かしたい!―MobileBERTとTensorFlow.js―BERTをブラウザで動かしたい!―MobileBERTとTensorFlow.js―
BERTをブラウザで動かしたい! ―MobileBERTとTensorFlow.js―Shion Honda
 
物体検出フレームワークMMDetectionで快適な開発
物体検出フレームワークMMDetectionで快適な開発物体検出フレームワークMMDetectionで快適な開発
物体検出フレームワークMMDetectionで快適な開発Tatsuya Suzuki
 
新趨勢:Open RAN
新趨勢:Open RAN新趨勢:Open RAN
新趨勢:Open RANCollaborator
 
NEDIA_SNIA_CXL_講演資料.pdf
NEDIA_SNIA_CXL_講演資料.pdfNEDIA_SNIA_CXL_講演資料.pdf
NEDIA_SNIA_CXL_講演資料.pdfYasunori Goto
 
タイル型ウィンドウマネージャawesomeのススメ
タイル型ウィンドウマネージャawesomeのススメタイル型ウィンドウマネージャawesomeのススメ
タイル型ウィンドウマネージャawesomeのススメTakuto Matsuu
 

What's hot (20)

モデルアーキテクチャ観点からのDeep Neural Network高速化
モデルアーキテクチャ観点からのDeep Neural Network高速化モデルアーキテクチャ観点からのDeep Neural Network高速化
モデルアーキテクチャ観点からのDeep Neural Network高速化
 
社會網絡分析法軟體-NodeXL教學-郭迺鋒與林崑峯-三星課程網2013
社會網絡分析法軟體-NodeXL教學-郭迺鋒與林崑峯-三星課程網2013社會網絡分析法軟體-NodeXL教學-郭迺鋒與林崑峯-三星課程網2013
社會網絡分析法軟體-NodeXL教學-郭迺鋒與林崑峯-三星課程網2013
 
Singularityで分散深層学習
Singularityで分散深層学習Singularityで分散深層学習
Singularityで分散深層学習
 
Introduction to OpenCL (Japanese, OpenCLの基礎)
Introduction to OpenCL (Japanese, OpenCLの基礎)Introduction to OpenCL (Japanese, OpenCLの基礎)
Introduction to OpenCL (Japanese, OpenCLの基礎)
 
VPP事始め
VPP事始めVPP事始め
VPP事始め
 
Mqttの通信を見てみよう
Mqttの通信を見てみようMqttの通信を見てみよう
Mqttの通信を見てみよう
 
OpenMPI入門
OpenMPI入門OpenMPI入門
OpenMPI入門
 
OpenStack検証環境構築・トラブルシューティング入門 - OpenStack最新情報セミナー 2014年8月
OpenStack検証環境構築・トラブルシューティング入門 - OpenStack最新情報セミナー 2014年8月OpenStack検証環境構築・トラブルシューティング入門 - OpenStack最新情報セミナー 2014年8月
OpenStack検証環境構築・トラブルシューティング入門 - OpenStack最新情報セミナー 2014年8月
 
OpenAI FineTuning を試してみる
OpenAI FineTuning を試してみるOpenAI FineTuning を試してみる
OpenAI FineTuning を試してみる
 
An Introduction of DNN Compression Technology and Hardware Acceleration on FPGA
An Introduction of DNN Compression Technology and Hardware Acceleration on FPGAAn Introduction of DNN Compression Technology and Hardware Acceleration on FPGA
An Introduction of DNN Compression Technology and Hardware Acceleration on FPGA
 
バイナリニューラルネットとハードウェアの関係
バイナリニューラルネットとハードウェアの関係バイナリニューラルネットとハードウェアの関係
バイナリニューラルネットとハードウェアの関係
 
データ爆発時代のネットワークインフラ
データ爆発時代のネットワークインフラデータ爆発時代のネットワークインフラ
データ爆発時代のネットワークインフラ
 
OPC UAをオープンソースやフリーのソフトで遊んでみた
OPC UAをオープンソースやフリーのソフトで遊んでみたOPC UAをオープンソースやフリーのソフトで遊んでみた
OPC UAをオープンソースやフリーのソフトで遊んでみた
 
IIJmio meeting 31 音声通信の世界
IIJmio meeting 31 音声通信の世界IIJmio meeting 31 音声通信の世界
IIJmio meeting 31 音声通信の世界
 
BERTをブラウザで動かしたい! ―MobileBERTとTensorFlow.js―
BERTをブラウザで動かしたい!―MobileBERTとTensorFlow.js―BERTをブラウザで動かしたい!―MobileBERTとTensorFlow.js―
BERTをブラウザで動かしたい! ―MobileBERTとTensorFlow.js―
 
物体検出フレームワークMMDetectionで快適な開発
物体検出フレームワークMMDetectionで快適な開発物体検出フレームワークMMDetectionで快適な開発
物体検出フレームワークMMDetectionで快適な開発
 
新趨勢:Open RAN
新趨勢:Open RAN新趨勢:Open RAN
新趨勢:Open RAN
 
NEDIA_SNIA_CXL_講演資料.pdf
NEDIA_SNIA_CXL_講演資料.pdfNEDIA_SNIA_CXL_講演資料.pdf
NEDIA_SNIA_CXL_講演資料.pdf
 
How to run P4 BMv2
How to run P4 BMv2How to run P4 BMv2
How to run P4 BMv2
 
タイル型ウィンドウマネージャawesomeのススメ
タイル型ウィンドウマネージャawesomeのススメタイル型ウィンドウマネージャawesomeのススメ
タイル型ウィンドウマネージャawesomeのススメ
 

Similar to Verilog-HDL Tutorial (5)

Verilog-HDL Tutorial (6)
Verilog-HDL Tutorial (6)Verilog-HDL Tutorial (6)
Verilog-HDL Tutorial (6)Hiroki Nakahara
 
Verilog-HDL Tutorial (3)
Verilog-HDL Tutorial (3)Verilog-HDL Tutorial (3)
Verilog-HDL Tutorial (3)Hiroki Nakahara
 
Verilog-HDL Tutorial (15) hardware
Verilog-HDL Tutorial (15) hardwareVerilog-HDL Tutorial (15) hardware
Verilog-HDL Tutorial (15) hardwareHiroki Nakahara
 
Seeed Studioで基板を作ろう
Seeed Studioで基板を作ろうSeeed Studioで基板を作ろう
Seeed Studioで基板を作ろうHirohide Shimoguchi
 
Visual Studio 2012 Native Debugger Feature
Visual Studio 2012 Native Debugger FeatureVisual Studio 2012 Native Debugger Feature
Visual Studio 2012 Native Debugger FeatureKazushi Kamegawa
 
わんくまT78 mfcを始めようとしてみた
わんくまT78 mfcを始めようとしてみたわんくまT78 mfcを始めようとしてみた
わんくまT78 mfcを始めようとしてみた伸男 伊藤
 
Delphi Plug-ins and Components for dummies
Delphi Plug-ins and Components for dummiesDelphi Plug-ins and Components for dummies
Delphi Plug-ins and Components for dummiesHikaru Fukushi
 
KDDI Business ID におけるアジャイル開発と検証フロー
KDDI Business ID におけるアジャイル開発と検証フローKDDI Business ID におけるアジャイル開発と検証フロー
KDDI Business ID におけるアジャイル開発と検証フローques_staff
 
Open-FCoE_osc2011tokyofall_20111119
Open-FCoE_osc2011tokyofall_20111119Open-FCoE_osc2011tokyofall_20111119
Open-FCoE_osc2011tokyofall_20111119metamd
 
Openstack kolla 20171025 josug v3
Openstack kolla 20171025 josug v3Openstack kolla 20171025 josug v3
Openstack kolla 20171025 josug v3Takehiro Kudou
 
Verilog-HDL Tutorial (2)
Verilog-HDL Tutorial (2)Verilog-HDL Tutorial (2)
Verilog-HDL Tutorial (2)Hiroki Nakahara
 
.NET アプリを改善して実践する継続的インテグレーション
.NET アプリを改善して実践する継続的インテグレーション.NET アプリを改善して実践する継続的インテグレーション
.NET アプリを改善して実践する継続的インテグレーションYuta Matsumura
 
Jenkins study jenkins build-cicdi
Jenkins study jenkins build-cicdiJenkins study jenkins build-cicdi
Jenkins study jenkins build-cicdi昌桓 李
 
PostgreSQL開発コミュニティに参加しよう!(PostgreSQL Conference Japan 2021 発表資料)
PostgreSQL開発コミュニティに参加しよう!(PostgreSQL Conference Japan 2021 発表資料)PostgreSQL開発コミュニティに参加しよう!(PostgreSQL Conference Japan 2021 発表資料)
PostgreSQL開発コミュニティに参加しよう!(PostgreSQL Conference Japan 2021 発表資料)NTT DATA Technology & Innovation
 
デルの NVIDIA GRID 対応ご紹介
デルの NVIDIA GRID 対応ご紹介デルの NVIDIA GRID 対応ご紹介
デルの NVIDIA GRID 対応ご紹介Dell TechCenter Japan
 
Duotone の子テーマを作ろう、そうしよう - Wordcamp Fukuoka 2010
Duotone の子テーマを作ろう、そうしよう - Wordcamp Fukuoka 2010Duotone の子テーマを作ろう、そうしよう - Wordcamp Fukuoka 2010
Duotone の子テーマを作ろう、そうしよう - Wordcamp Fukuoka 2010Odyssey Eightbit
 
DELLのグラフィクスVDIの取り組み ~お客様事例ならびにGPUソリューションラボご紹介
DELLのグラフィクスVDIの取り組み ~お客様事例ならびにGPUソリューションラボご紹介DELLのグラフィクスVDIの取り組み ~お客様事例ならびにGPUソリューションラボご紹介
DELLのグラフィクスVDIの取り組み ~お客様事例ならびにGPUソリューションラボご紹介Dell TechCenter Japan
 
Denoで動くReactフレームワークAleph.jsでポートフォリオサイトをリプレイスした話
Denoで動くReactフレームワークAleph.jsでポートフォリオサイトをリプレイスした話Denoで動くReactフレームワークAleph.jsでポートフォリオサイトをリプレイスした話
Denoで動くReactフレームワークAleph.jsでポートフォリオサイトをリプレイスした話yuosaka
 
Let's Start Contributing to OpenJDK from Today!(Oracle Groundbreakers APAC Vi...
Let's Start Contributing to OpenJDK from Today!(Oracle Groundbreakers APAC Vi...Let's Start Contributing to OpenJDK from Today!(Oracle Groundbreakers APAC Vi...
Let's Start Contributing to OpenJDK from Today!(Oracle Groundbreakers APAC Vi...NTT DATA Technology & Innovation
 
【de:code 2020】 クラウドとオンプレミスを上手に使う、Azure Stack HCI
【de:code 2020】 クラウドとオンプレミスを上手に使う、Azure Stack HCI【de:code 2020】 クラウドとオンプレミスを上手に使う、Azure Stack HCI
【de:code 2020】 クラウドとオンプレミスを上手に使う、Azure Stack HCI日本マイクロソフト株式会社
 

Similar to Verilog-HDL Tutorial (5) (20)

Verilog-HDL Tutorial (6)
Verilog-HDL Tutorial (6)Verilog-HDL Tutorial (6)
Verilog-HDL Tutorial (6)
 
Verilog-HDL Tutorial (3)
Verilog-HDL Tutorial (3)Verilog-HDL Tutorial (3)
Verilog-HDL Tutorial (3)
 
Verilog-HDL Tutorial (15) hardware
Verilog-HDL Tutorial (15) hardwareVerilog-HDL Tutorial (15) hardware
Verilog-HDL Tutorial (15) hardware
 
Seeed Studioで基板を作ろう
Seeed Studioで基板を作ろうSeeed Studioで基板を作ろう
Seeed Studioで基板を作ろう
 
Visual Studio 2012 Native Debugger Feature
Visual Studio 2012 Native Debugger FeatureVisual Studio 2012 Native Debugger Feature
Visual Studio 2012 Native Debugger Feature
 
わんくまT78 mfcを始めようとしてみた
わんくまT78 mfcを始めようとしてみたわんくまT78 mfcを始めようとしてみた
わんくまT78 mfcを始めようとしてみた
 
Delphi Plug-ins and Components for dummies
Delphi Plug-ins and Components for dummiesDelphi Plug-ins and Components for dummies
Delphi Plug-ins and Components for dummies
 
KDDI Business ID におけるアジャイル開発と検証フロー
KDDI Business ID におけるアジャイル開発と検証フローKDDI Business ID におけるアジャイル開発と検証フロー
KDDI Business ID におけるアジャイル開発と検証フロー
 
Open-FCoE_osc2011tokyofall_20111119
Open-FCoE_osc2011tokyofall_20111119Open-FCoE_osc2011tokyofall_20111119
Open-FCoE_osc2011tokyofall_20111119
 
Openstack kolla 20171025 josug v3
Openstack kolla 20171025 josug v3Openstack kolla 20171025 josug v3
Openstack kolla 20171025 josug v3
 
Verilog-HDL Tutorial (2)
Verilog-HDL Tutorial (2)Verilog-HDL Tutorial (2)
Verilog-HDL Tutorial (2)
 
.NET アプリを改善して実践する継続的インテグレーション
.NET アプリを改善して実践する継続的インテグレーション.NET アプリを改善して実践する継続的インテグレーション
.NET アプリを改善して実践する継続的インテグレーション
 
Jenkins study jenkins build-cicdi
Jenkins study jenkins build-cicdiJenkins study jenkins build-cicdi
Jenkins study jenkins build-cicdi
 
PostgreSQL開発コミュニティに参加しよう!(PostgreSQL Conference Japan 2021 発表資料)
PostgreSQL開発コミュニティに参加しよう!(PostgreSQL Conference Japan 2021 発表資料)PostgreSQL開発コミュニティに参加しよう!(PostgreSQL Conference Japan 2021 発表資料)
PostgreSQL開発コミュニティに参加しよう!(PostgreSQL Conference Japan 2021 発表資料)
 
デルの NVIDIA GRID 対応ご紹介
デルの NVIDIA GRID 対応ご紹介デルの NVIDIA GRID 対応ご紹介
デルの NVIDIA GRID 対応ご紹介
 
Duotone の子テーマを作ろう、そうしよう - Wordcamp Fukuoka 2010
Duotone の子テーマを作ろう、そうしよう - Wordcamp Fukuoka 2010Duotone の子テーマを作ろう、そうしよう - Wordcamp Fukuoka 2010
Duotone の子テーマを作ろう、そうしよう - Wordcamp Fukuoka 2010
 
DELLのグラフィクスVDIの取り組み ~お客様事例ならびにGPUソリューションラボご紹介
DELLのグラフィクスVDIの取り組み ~お客様事例ならびにGPUソリューションラボご紹介DELLのグラフィクスVDIの取り組み ~お客様事例ならびにGPUソリューションラボご紹介
DELLのグラフィクスVDIの取り組み ~お客様事例ならびにGPUソリューションラボご紹介
 
Denoで動くReactフレームワークAleph.jsでポートフォリオサイトをリプレイスした話
Denoで動くReactフレームワークAleph.jsでポートフォリオサイトをリプレイスした話Denoで動くReactフレームワークAleph.jsでポートフォリオサイトをリプレイスした話
Denoで動くReactフレームワークAleph.jsでポートフォリオサイトをリプレイスした話
 
Let's Start Contributing to OpenJDK from Today!(Oracle Groundbreakers APAC Vi...
Let's Start Contributing to OpenJDK from Today!(Oracle Groundbreakers APAC Vi...Let's Start Contributing to OpenJDK from Today!(Oracle Groundbreakers APAC Vi...
Let's Start Contributing to OpenJDK from Today!(Oracle Groundbreakers APAC Vi...
 
【de:code 2020】 クラウドとオンプレミスを上手に使う、Azure Stack HCI
【de:code 2020】 クラウドとオンプレミスを上手に使う、Azure Stack HCI【de:code 2020】 クラウドとオンプレミスを上手に使う、Azure Stack HCI
【de:code 2020】 クラウドとオンプレミスを上手に使う、Azure Stack HCI
 

More from Hiroki Nakahara

FCCM2020: High-Throughput Convolutional Neural Network on an FPGA by Customiz...
FCCM2020: High-Throughput Convolutional Neural Network on an FPGA by Customiz...FCCM2020: High-Throughput Convolutional Neural Network on an FPGA by Customiz...
FCCM2020: High-Throughput Convolutional Neural Network on an FPGA by Customiz...Hiroki Nakahara
 
ROS User Group Meeting #28 マルチ深層学習とROS
ROS User Group Meeting #28 マルチ深層学習とROSROS User Group Meeting #28 マルチ深層学習とROS
ROS User Group Meeting #28 マルチ深層学習とROSHiroki Nakahara
 
DSF2018講演スライド
DSF2018講演スライドDSF2018講演スライド
DSF2018講演スライドHiroki Nakahara
 
ISCAS'18: A Deep Neural Network on the Nested RNS (NRNS) on an FPGA: Applied ...
ISCAS'18: A Deep Neural Network on the Nested RNS (NRNS) on an FPGA: Applied ...ISCAS'18: A Deep Neural Network on the Nested RNS (NRNS) on an FPGA: Applied ...
ISCAS'18: A Deep Neural Network on the Nested RNS (NRNS) on an FPGA: Applied ...Hiroki Nakahara
 
ISMVL2018: A Ternary Weight Binary Input Convolutional Neural Network
ISMVL2018: A Ternary Weight Binary Input Convolutional Neural NetworkISMVL2018: A Ternary Weight Binary Input Convolutional Neural Network
ISMVL2018: A Ternary Weight Binary Input Convolutional Neural NetworkHiroki Nakahara
 
FPGA2018: A Lightweight YOLOv2: A binarized CNN with a parallel support vecto...
FPGA2018: A Lightweight YOLOv2: A binarized CNN with a parallel support vecto...FPGA2018: A Lightweight YOLOv2: A binarized CNN with a parallel support vecto...
FPGA2018: A Lightweight YOLOv2: A binarized CNN with a parallel support vecto...Hiroki Nakahara
 
FPT17: An object detector based on multiscale sliding window search using a f...
FPT17: An object detector based on multiscale sliding window search using a f...FPT17: An object detector based on multiscale sliding window search using a f...
FPT17: An object detector based on multiscale sliding window search using a f...Hiroki Nakahara
 
(公開版)Reconf研2017GUINNESS
(公開版)Reconf研2017GUINNESS(公開版)Reconf研2017GUINNESS
(公開版)Reconf研2017GUINNESSHiroki Nakahara
 
(公開版)FPGAエクストリームコンピューティング2017
(公開版)FPGAエクストリームコンピューティング2017 (公開版)FPGAエクストリームコンピューティング2017
(公開版)FPGAエクストリームコンピューティング2017 Hiroki Nakahara
 
A Random Forest using a Multi-valued Decision Diagram on an FPGa
A Random Forest using a Multi-valued Decision Diagram on an FPGaA Random Forest using a Multi-valued Decision Diagram on an FPGa
A Random Forest using a Multi-valued Decision Diagram on an FPGaHiroki Nakahara
 
2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介
2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介
2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介Hiroki Nakahara
 
Tensor flow usergroup 2016 (公開版)
Tensor flow usergroup 2016 (公開版)Tensor flow usergroup 2016 (公開版)
Tensor flow usergroup 2016 (公開版)Hiroki Nakahara
 
FPGAX2016 ドキュンなFPGA
FPGAX2016 ドキュンなFPGAFPGAX2016 ドキュンなFPGA
FPGAX2016 ドキュンなFPGAHiroki Nakahara
 
電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた
電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた
電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみたHiroki Nakahara
 
Altera sdk for open cl アンケート集計結果(公開版)
Altera sdk for open cl アンケート集計結果(公開版)Altera sdk for open cl アンケート集計結果(公開版)
Altera sdk for open cl アンケート集計結果(公開版)Hiroki Nakahara
 
Nested RNSを用いたディープニューラルネットワークのFPGA実装
Nested RNSを用いたディープニューラルネットワークのFPGA実装Nested RNSを用いたディープニューラルネットワークのFPGA実装
Nested RNSを用いたディープニューラルネットワークのFPGA実装Hiroki Nakahara
 
FPL15 talk: Deep Convolutional Neural Network on FPGA
FPL15 talk: Deep Convolutional Neural Network on FPGAFPL15 talk: Deep Convolutional Neural Network on FPGA
FPL15 talk: Deep Convolutional Neural Network on FPGAHiroki Nakahara
 

More from Hiroki Nakahara (20)

FCCM2020: High-Throughput Convolutional Neural Network on an FPGA by Customiz...
FCCM2020: High-Throughput Convolutional Neural Network on an FPGA by Customiz...FCCM2020: High-Throughput Convolutional Neural Network on an FPGA by Customiz...
FCCM2020: High-Throughput Convolutional Neural Network on an FPGA by Customiz...
 
ROS User Group Meeting #28 マルチ深層学習とROS
ROS User Group Meeting #28 マルチ深層学習とROSROS User Group Meeting #28 マルチ深層学習とROS
ROS User Group Meeting #28 マルチ深層学習とROS
 
FPGAX2019
FPGAX2019FPGAX2019
FPGAX2019
 
SBRA2018講演資料
SBRA2018講演資料SBRA2018講演資料
SBRA2018講演資料
 
DSF2018講演スライド
DSF2018講演スライドDSF2018講演スライド
DSF2018講演スライド
 
ISCAS'18: A Deep Neural Network on the Nested RNS (NRNS) on an FPGA: Applied ...
ISCAS'18: A Deep Neural Network on the Nested RNS (NRNS) on an FPGA: Applied ...ISCAS'18: A Deep Neural Network on the Nested RNS (NRNS) on an FPGA: Applied ...
ISCAS'18: A Deep Neural Network on the Nested RNS (NRNS) on an FPGA: Applied ...
 
ISMVL2018: A Ternary Weight Binary Input Convolutional Neural Network
ISMVL2018: A Ternary Weight Binary Input Convolutional Neural NetworkISMVL2018: A Ternary Weight Binary Input Convolutional Neural Network
ISMVL2018: A Ternary Weight Binary Input Convolutional Neural Network
 
FPGA2018: A Lightweight YOLOv2: A binarized CNN with a parallel support vecto...
FPGA2018: A Lightweight YOLOv2: A binarized CNN with a parallel support vecto...FPGA2018: A Lightweight YOLOv2: A binarized CNN with a parallel support vecto...
FPGA2018: A Lightweight YOLOv2: A binarized CNN with a parallel support vecto...
 
FPT17: An object detector based on multiscale sliding window search using a f...
FPT17: An object detector based on multiscale sliding window search using a f...FPT17: An object detector based on multiscale sliding window search using a f...
FPT17: An object detector based on multiscale sliding window search using a f...
 
(公開版)Reconf研2017GUINNESS
(公開版)Reconf研2017GUINNESS(公開版)Reconf研2017GUINNESS
(公開版)Reconf研2017GUINNESS
 
(公開版)FPGAエクストリームコンピューティング2017
(公開版)FPGAエクストリームコンピューティング2017 (公開版)FPGAエクストリームコンピューティング2017
(公開版)FPGAエクストリームコンピューティング2017
 
A Random Forest using a Multi-valued Decision Diagram on an FPGa
A Random Forest using a Multi-valued Decision Diagram on an FPGaA Random Forest using a Multi-valued Decision Diagram on an FPGa
A Random Forest using a Multi-valued Decision Diagram on an FPGa
 
2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介
2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介
2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介
 
Tensor flow usergroup 2016 (公開版)
Tensor flow usergroup 2016 (公開版)Tensor flow usergroup 2016 (公開版)
Tensor flow usergroup 2016 (公開版)
 
FPGAX2016 ドキュンなFPGA
FPGAX2016 ドキュンなFPGAFPGAX2016 ドキュンなFPGA
FPGAX2016 ドキュンなFPGA
 
電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた
電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた
電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた
 
Altera sdk for open cl アンケート集計結果(公開版)
Altera sdk for open cl アンケート集計結果(公開版)Altera sdk for open cl アンケート集計結果(公開版)
Altera sdk for open cl アンケート集計結果(公開版)
 
Naist2015 dec ver1
Naist2015 dec ver1Naist2015 dec ver1
Naist2015 dec ver1
 
Nested RNSを用いたディープニューラルネットワークのFPGA実装
Nested RNSを用いたディープニューラルネットワークのFPGA実装Nested RNSを用いたディープニューラルネットワークのFPGA実装
Nested RNSを用いたディープニューラルネットワークのFPGA実装
 
FPL15 talk: Deep Convolutional Neural Network on FPGA
FPL15 talk: Deep Convolutional Neural Network on FPGAFPL15 talk: Deep Convolutional Neural Network on FPGA
FPL15 talk: Deep Convolutional Neural Network on FPGA
 

Recently uploaded

リアル戦国探究in米沢 当日講座3スライド(スタッフ共有用)『糧は三度はさいせず』についてのスライド
リアル戦国探究in米沢 当日講座3スライド(スタッフ共有用)『糧は三度はさいせず』についてのスライドリアル戦国探究in米沢 当日講座3スライド(スタッフ共有用)『糧は三度はさいせず』についてのスライド
リアル戦国探究in米沢 当日講座3スライド(スタッフ共有用)『糧は三度はさいせず』についてのスライドKen Fukui
 
TEAMIN Service overview for customer_20240422.pdf
TEAMIN Service overview for customer_20240422.pdfTEAMIN Service overview for customer_20240422.pdf
TEAMIN Service overview for customer_20240422.pdfyukisuga3
 
【ゲーム理論入門】ChatGPTが作成した ゲーム理論の問題を解く #3 Slide
【ゲーム理論入門】ChatGPTが作成した ゲーム理論の問題を解く #3 Slide【ゲーム理論入門】ChatGPTが作成した ゲーム理論の問題を解く #3 Slide
【ゲーム理論入門】ChatGPTが作成した ゲーム理論の問題を解く #3 Slidessusere0a682
 
リアル戦国探究in米沢 事前講座2スライド(スタッフ共有用)『両雄の強さの秘密』についてのスライド
リアル戦国探究in米沢 事前講座2スライド(スタッフ共有用)『両雄の強さの秘密』についてのスライドリアル戦国探究in米沢 事前講座2スライド(スタッフ共有用)『両雄の強さの秘密』についてのスライド
リアル戦国探究in米沢 事前講座2スライド(スタッフ共有用)『両雄の強さの秘密』についてのスライドKen Fukui
 
リアル戦国探究in米沢 当日講座1(スタッフ共有用)『兵は詐をもって立つ』についてのスライド
リアル戦国探究in米沢 当日講座1(スタッフ共有用)『兵は詐をもって立つ』についてのスライドリアル戦国探究in米沢 当日講座1(スタッフ共有用)『兵は詐をもって立つ』についてのスライド
リアル戦国探究in米沢 当日講座1(スタッフ共有用)『兵は詐をもって立つ』についてのスライドKen Fukui
 
リアル戦国探究in米沢 当日講座2スライド(スタッフ共有用)『人を致すも人に致されず』についてのスライド
リアル戦国探究in米沢 当日講座2スライド(スタッフ共有用)『人を致すも人に致されず』についてのスライドリアル戦国探究in米沢 当日講座2スライド(スタッフ共有用)『人を致すも人に致されず』についてのスライド
リアル戦国探究in米沢 当日講座2スライド(スタッフ共有用)『人を致すも人に致されず』についてのスライドKen Fukui
 
リアル戦国探究in米沢 事前講座1スライド(スタッフ共有用)『川中島の謎』についてのスライド
リアル戦国探究in米沢 事前講座1スライド(スタッフ共有用)『川中島の謎』についてのスライドリアル戦国探究in米沢 事前講座1スライド(スタッフ共有用)『川中島の謎』についてのスライド
リアル戦国探究in米沢 事前講座1スライド(スタッフ共有用)『川中島の謎』についてのスライドKen Fukui
 
ゲーム理論 BASIC 演習105 -n人囚人のジレンマモデル- #ゲーム理論 #gametheory #数学
ゲーム理論 BASIC 演習105 -n人囚人のジレンマモデル- #ゲーム理論 #gametheory #数学ゲーム理論 BASIC 演習105 -n人囚人のジレンマモデル- #ゲーム理論 #gametheory #数学
ゲーム理論 BASIC 演習105 -n人囚人のジレンマモデル- #ゲーム理論 #gametheory #数学ssusere0a682
 

Recently uploaded (8)

リアル戦国探究in米沢 当日講座3スライド(スタッフ共有用)『糧は三度はさいせず』についてのスライド
リアル戦国探究in米沢 当日講座3スライド(スタッフ共有用)『糧は三度はさいせず』についてのスライドリアル戦国探究in米沢 当日講座3スライド(スタッフ共有用)『糧は三度はさいせず』についてのスライド
リアル戦国探究in米沢 当日講座3スライド(スタッフ共有用)『糧は三度はさいせず』についてのスライド
 
TEAMIN Service overview for customer_20240422.pdf
TEAMIN Service overview for customer_20240422.pdfTEAMIN Service overview for customer_20240422.pdf
TEAMIN Service overview for customer_20240422.pdf
 
【ゲーム理論入門】ChatGPTが作成した ゲーム理論の問題を解く #3 Slide
【ゲーム理論入門】ChatGPTが作成した ゲーム理論の問題を解く #3 Slide【ゲーム理論入門】ChatGPTが作成した ゲーム理論の問題を解く #3 Slide
【ゲーム理論入門】ChatGPTが作成した ゲーム理論の問題を解く #3 Slide
 
リアル戦国探究in米沢 事前講座2スライド(スタッフ共有用)『両雄の強さの秘密』についてのスライド
リアル戦国探究in米沢 事前講座2スライド(スタッフ共有用)『両雄の強さの秘密』についてのスライドリアル戦国探究in米沢 事前講座2スライド(スタッフ共有用)『両雄の強さの秘密』についてのスライド
リアル戦国探究in米沢 事前講座2スライド(スタッフ共有用)『両雄の強さの秘密』についてのスライド
 
リアル戦国探究in米沢 当日講座1(スタッフ共有用)『兵は詐をもって立つ』についてのスライド
リアル戦国探究in米沢 当日講座1(スタッフ共有用)『兵は詐をもって立つ』についてのスライドリアル戦国探究in米沢 当日講座1(スタッフ共有用)『兵は詐をもって立つ』についてのスライド
リアル戦国探究in米沢 当日講座1(スタッフ共有用)『兵は詐をもって立つ』についてのスライド
 
リアル戦国探究in米沢 当日講座2スライド(スタッフ共有用)『人を致すも人に致されず』についてのスライド
リアル戦国探究in米沢 当日講座2スライド(スタッフ共有用)『人を致すも人に致されず』についてのスライドリアル戦国探究in米沢 当日講座2スライド(スタッフ共有用)『人を致すも人に致されず』についてのスライド
リアル戦国探究in米沢 当日講座2スライド(スタッフ共有用)『人を致すも人に致されず』についてのスライド
 
リアル戦国探究in米沢 事前講座1スライド(スタッフ共有用)『川中島の謎』についてのスライド
リアル戦国探究in米沢 事前講座1スライド(スタッフ共有用)『川中島の謎』についてのスライドリアル戦国探究in米沢 事前講座1スライド(スタッフ共有用)『川中島の謎』についてのスライド
リアル戦国探究in米沢 事前講座1スライド(スタッフ共有用)『川中島の謎』についてのスライド
 
ゲーム理論 BASIC 演習105 -n人囚人のジレンマモデル- #ゲーム理論 #gametheory #数学
ゲーム理論 BASIC 演習105 -n人囚人のジレンマモデル- #ゲーム理論 #gametheory #数学ゲーム理論 BASIC 演習105 -n人囚人のジレンマモデル- #ゲーム理論 #gametheory #数学
ゲーム理論 BASIC 演習105 -n人囚人のジレンマモデル- #ゲーム理論 #gametheory #数学
 

Verilog-HDL Tutorial (5)