SlideShare a Scribd company logo
1 of 20
GREENDROID: EXPLORING THE NEXT
EVOLUTION IN SMARTPHONE APPLICATION
PROCESSOR
CONTENTS
 Technology
 Problem Statement.
 Basic definition involved.
 Proposed Schemes.
 Design Goals.
 Architectures.
 Methodology.
 Result.
 Future Enhancement.
 Conclusion.
TECHNOLOGY
This study is mainly for smart phone application
processor. Smartphone applications' energy efficiency is vital, but many
Android applications suffer from serious energy inefficiency problems . So
Greendroid is discussed to eradicate this problem and make the processors
energy efficient.
PROBLEMS IN THE SMARTPHONE PROCESSOR
 Exponentially worsening problem is “DARK SILICON “ to be the primary
force that dictates the evolution in the processor.
 Dark Silicon Age kicked off with the transition to multi core. For every new
generation of process the percentage of the transistors that a chip can switch at
full frequency is dropping exponentially due to power constraints
UTILIZATION WALL
Utilization wall dictates that due to poor CMOS scaling,
improvements in processor performance are determined not by
improvements in transistor frequency or transistor count, but rather by the
degree to which each process shrink reduces the switching energy for
underlying transistors.
BASIC DEFINITIONS
 TRANSISTORS : A transistor is a semiconductor device used to
amplify and switch electronic power and signals.
 MICROPROCESSOR : The microprocessor is a programmable device
that accepts digital data as input, processes it ,stored in its memory, and
provides results as output .
 MULTICORE : A multi-core processor is a single computing
component with two or more independent actual processing units which are
the units that read and execute program instructions.
 SILICON : A small piece of semiconducting material on
which integrated circuit is embedded.
PROPOSED SCHEMES
 C-cores.
 Greendroid.
MOORE’S LAW
The observation that, over the
history of computing hardware,
the “number of transistors in a
dense integrated circuit doubles
approximately every two years”.
DESIGN GOALS
 Low complexity.
 Easy of integration .
 Capability.
 Utilization wall is a fundamental first order constraint for processor design.
to predict this CMOS scaling theory is used.
 The architectures needed to be created that can leverage many transistors
without actually actively switching them all.
GREENDROID ARCHITECTURE
 Greendroid processor combines
general purpose processor with
application specific processor that
are very efficient.
 Greendroid is a heterogeneous tiled
architecture. The tiles caches are
kept coherent through a simple
cache coherence schemes that
allows caches of inactive tiles to be
collectively used .
 Greendroid tiles however are not
uniform each of them contain
unique collection of 8 to 15 cores.
Android : Green droid's Target Workload.
 Android is an excellent target for
Greendroid-Style Architecture.
 Android comprises of three main
components Linux kernel, a
collection of native libraries and
Dalvik virtual machine.(DVM)
 Android’s usage model also
reduces the need for the patching
in architecture.
 This architecture also includes a
mesh-based on-chip network.
Conservation Cores
 It is known as C-Cores
specialized coprocessors.
 C-cores are a post –multicore
approach that constructively
reduces the energy consumption
of an application by 10x or more.
 These cores target specific
Android hotspots, including the
kernel.
 C-cores concept by applying the
technique to the Android mobile
software stack in order to build a
mobile application processor that
runs the applications with a
fraction of the energy
consumption..
LIFE CYCLE
 C-cores are paired with energy
efficient general purpose host
CPU and perform all their
memory operations through data
cache.
 Frequently executed hot code
regions are implemented using C-
cores while cold regions are
executed on the host CPU.
 C-cores generally have slight
improvements in performance
versus general purpose cores.
They can have up to 18x
improvement in energy efficiency.
GENERATION OF C-Core
 It is relatively a straight forward process .
 It is parallelism and regularity-agnostic and employs a function call.
Passes through compiler which code reconstitution.
 Supports CFG’s ,data structures and arbitrary memory access patterns etc.
 After code is been reshaped then respective control flow diagrams and data
flow diagrams are drawn for respective process.
 Each instruction in the basic block is directly converted into hardware
operator.
 The live-ins of the DFD’s are turned into register. The control logic is
created control logic that sequences the multiplexed operators and stalls the
sequencing logic appropriately on a cache miss. This logic represented by
using Verilog.
METHODOLGY
GREENDROID
 To reduce the energy consumption a
mobile application processor is introduced.
 It serves as an prototype for mobile
application processor.
 Greendroid is research prototype that
demonstrates the use of cores that saves
energy across the hotspots in the Android
mobile phone software stack.
 A single Greendroid processor will
contain tens or hundreds of different cores
that each implement a different key
function in Android.
 Greendroid attacks one of the most
important realities of Moore’s Law.
SYNTHESIZING C-CORES FOR GREEENDROID
 C-core tool chain converts arbitrary c functions into C-core hardware.
 This tool chain targets a much wider range of C constructs and build
energy saving C-cores for functions that are poor targets for acceleration.
 The tool chain’s profiling pass identifies “hot” functions and loops in the
target workload and isolates them by in lining functions and outlining
loops.
 The data path mirrors the single static assignment program representation
the compiler uses internally and groups instruction together by basic block.
 The control path tracks the execution through function with a state machine
that closely matches the function’s control flow graph .
Ctnd..
 The compiler also generates function stubs to replace the original
functions by invoking the hardware.
 C-cores support this by providing targeted reconfigurability that lets them
to maintain perfect fidelity to changing source code.
 C-cores provide built-in support for changes to compile-time constants as
well as general mechanism for transferring the control back to core to
execute the individual basic block.
RESULT
GreenDroid leverage "dark silicon" to dramatically reduce energy
consumption by integrating conservation cores in smartphone .C-cores
also incorporate focused reconfigurability that allows them to adapt to
small changes in the target application while still realizing efficiency gains.
Future Scope
“Turbo mode “that runs some cores faster if others are switched off. We
can expect similar trends for future of mobile processors as well.
CONCLUSION
The severity of the problem is to develop new architectural
tradeoffs that trade Dark Silicon , an exponentially cheapening resource
,for energy which is the true limiter of performance today. Conversation
cores and Greendroid offers potential way to attack the Dark silicon
problem.

More Related Content

What's hot

GREENDROID: A SOLUTION TO THE BATTERY PROBLEM OF SMARTPHONE
GREENDROID: A SOLUTION TO THE BATTERY PROBLEM OF SMARTPHONEGREENDROID: A SOLUTION TO THE BATTERY PROBLEM OF SMARTPHONE
GREENDROID: A SOLUTION TO THE BATTERY PROBLEM OF SMARTPHONEpihu281
 
Greendroid an architecture for dark silicon age
Greendroid   an architecture for dark silicon ageGreendroid   an architecture for dark silicon age
Greendroid an architecture for dark silicon agesukanya thatamsetty
 
A General-Purpose Architectural Approach to Energy Efficiency for Greendroid ...
A General-Purpose Architectural Approach to Energy Efficiency for Greendroid ...A General-Purpose Architectural Approach to Energy Efficiency for Greendroid ...
A General-Purpose Architectural Approach to Energy Efficiency for Greendroid ...theijes
 
Micromirror display technology
Micromirror display technologyMicromirror display technology
Micromirror display technologyBulbul Brahma
 
documents of blue gene/L
documents of blue gene/Ldocuments of blue gene/L
documents of blue gene/Lmsramakrishna
 
Blue gene technology
Blue gene technologyBlue gene technology
Blue gene technologyVivek Jha
 
Deep learning for smart manufacturing
Deep learning for smart manufacturingDeep learning for smart manufacturing
Deep learning for smart manufacturingSunil Kumar Pradhan
 
BRINGING AMERICAN BATTERIES TO COMMERCIAL AND INDUSTRIAL VEHICLES
BRINGING AMERICAN BATTERIES TO COMMERCIAL AND INDUSTRIAL VEHICLESBRINGING AMERICAN BATTERIES TO COMMERCIAL AND INDUSTRIAL VEHICLES
BRINGING AMERICAN BATTERIES TO COMMERCIAL AND INDUSTRIAL VEHICLESDesignTeam8
 
CRITICAL FACTORS FOR ENABLING NORTH AMERICAN LI-ION CELL MANUFACTURING
CRITICAL FACTORS FOR ENABLING NORTH AMERICAN LI-ION CELL MANUFACTURINGCRITICAL FACTORS FOR ENABLING NORTH AMERICAN LI-ION CELL MANUFACTURING
CRITICAL FACTORS FOR ENABLING NORTH AMERICAN LI-ION CELL MANUFACTURINGDesignTeam8
 
Harnessing the virtual realm
Harnessing the virtual realmHarnessing the virtual realm
Harnessing the virtual realmAlison B. Lowndes
 

What's hot (19)

Greendroid
GreendroidGreendroid
Greendroid
 
GREENDROID: A SOLUTION TO THE BATTERY PROBLEM OF SMARTPHONE
GREENDROID: A SOLUTION TO THE BATTERY PROBLEM OF SMARTPHONEGREENDROID: A SOLUTION TO THE BATTERY PROBLEM OF SMARTPHONE
GREENDROID: A SOLUTION TO THE BATTERY PROBLEM OF SMARTPHONE
 
Greendroid an architecture for dark silicon age
Greendroid   an architecture for dark silicon ageGreendroid   an architecture for dark silicon age
Greendroid an architecture for dark silicon age
 
Greendroid ppt
Greendroid pptGreendroid ppt
Greendroid ppt
 
A General-Purpose Architectural Approach to Energy Efficiency for Greendroid ...
A General-Purpose Architectural Approach to Energy Efficiency for Greendroid ...A General-Purpose Architectural Approach to Energy Efficiency for Greendroid ...
A General-Purpose Architectural Approach to Energy Efficiency for Greendroid ...
 
Micromirror display technology
Micromirror display technologyMicromirror display technology
Micromirror display technology
 
documents of blue gene/L
documents of blue gene/Ldocuments of blue gene/L
documents of blue gene/L
 
blue gene ppt
blue gene pptblue gene ppt
blue gene ppt
 
Blue Gene
Blue GeneBlue Gene
Blue Gene
 
BLUE GENE/L
BLUE GENE/LBLUE GENE/L
BLUE GENE/L
 
Blue gene technology
Blue gene technologyBlue gene technology
Blue gene technology
 
Deep learning for smart manufacturing
Deep learning for smart manufacturingDeep learning for smart manufacturing
Deep learning for smart manufacturing
 
2014 DAC: Catch Your Attention
2014 DAC: Catch Your Attention2014 DAC: Catch Your Attention
2014 DAC: Catch Your Attention
 
Bluegene
BluegeneBluegene
Bluegene
 
Blue gene
Blue geneBlue gene
Blue gene
 
System Black Start with DER
System Black Start with DER System Black Start with DER
System Black Start with DER
 
BRINGING AMERICAN BATTERIES TO COMMERCIAL AND INDUSTRIAL VEHICLES
BRINGING AMERICAN BATTERIES TO COMMERCIAL AND INDUSTRIAL VEHICLESBRINGING AMERICAN BATTERIES TO COMMERCIAL AND INDUSTRIAL VEHICLES
BRINGING AMERICAN BATTERIES TO COMMERCIAL AND INDUSTRIAL VEHICLES
 
CRITICAL FACTORS FOR ENABLING NORTH AMERICAN LI-ION CELL MANUFACTURING
CRITICAL FACTORS FOR ENABLING NORTH AMERICAN LI-ION CELL MANUFACTURINGCRITICAL FACTORS FOR ENABLING NORTH AMERICAN LI-ION CELL MANUFACTURING
CRITICAL FACTORS FOR ENABLING NORTH AMERICAN LI-ION CELL MANUFACTURING
 
Harnessing the virtual realm
Harnessing the virtual realmHarnessing the virtual realm
Harnessing the virtual realm
 

Viewers also liked

An adaptive wideband delphi method to study state
An adaptive wideband delphi method to study stateAn adaptive wideband delphi method to study state
An adaptive wideband delphi method to study stateBhavana Raghupatruni
 
Smartphone technology
Smartphone technologySmartphone technology
Smartphone technologyNeil Logan
 
Global monitoring's forgotten history: typewriter to smartphone in five decades
Global monitoring's forgotten history: typewriter to smartphone in five decadesGlobal monitoring's forgotten history: typewriter to smartphone in five decades
Global monitoring's forgotten history: typewriter to smartphone in five decadesIRC
 
The Inevitable - The impact of technology
The Inevitable - The impact of technologyThe Inevitable - The impact of technology
The Inevitable - The impact of technologyTom Fleerackers
 
Cloud Operating Model Design
Cloud Operating Model DesignCloud Operating Model Design
Cloud Operating Model DesignJoseph Schwartz
 
International Target Operating Model Design
International Target Operating Model DesignInternational Target Operating Model Design
International Target Operating Model DesignChris Oddy
 
ValueFlowIT: A new IT Operating Model Emerges
ValueFlowIT: A new IT Operating Model EmergesValueFlowIT: A new IT Operating Model Emerges
ValueFlowIT: A new IT Operating Model EmergesDavid Favelle
 

Viewers also liked (7)

An adaptive wideband delphi method to study state
An adaptive wideband delphi method to study stateAn adaptive wideband delphi method to study state
An adaptive wideband delphi method to study state
 
Smartphone technology
Smartphone technologySmartphone technology
Smartphone technology
 
Global monitoring's forgotten history: typewriter to smartphone in five decades
Global monitoring's forgotten history: typewriter to smartphone in five decadesGlobal monitoring's forgotten history: typewriter to smartphone in five decades
Global monitoring's forgotten history: typewriter to smartphone in five decades
 
The Inevitable - The impact of technology
The Inevitable - The impact of technologyThe Inevitable - The impact of technology
The Inevitable - The impact of technology
 
Cloud Operating Model Design
Cloud Operating Model DesignCloud Operating Model Design
Cloud Operating Model Design
 
International Target Operating Model Design
International Target Operating Model DesignInternational Target Operating Model Design
International Target Operating Model Design
 
ValueFlowIT: A new IT Operating Model Emerges
ValueFlowIT: A new IT Operating Model EmergesValueFlowIT: A new IT Operating Model Emerges
ValueFlowIT: A new IT Operating Model Emerges
 

Similar to greendroid ppts

Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...Editor IJMTER
 
Greendroid an architecture for dark silicon age
Greendroid   an architecture for dark silicon ageGreendroid   an architecture for dark silicon age
Greendroid an architecture for dark silicon agesukanya thatamsetty
 
Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...iosrjce
 
Top 10 Supercomputers With Descriptive Information & Analysis
Top 10 Supercomputers With Descriptive Information & AnalysisTop 10 Supercomputers With Descriptive Information & Analysis
Top 10 Supercomputers With Descriptive Information & AnalysisNomanSiddiqui41
 
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDICImplementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDICijtsrd
 
Dark silicon and the end of multicore scaling
Dark silicon and the end of multicore scalingDark silicon and the end of multicore scaling
Dark silicon and the end of multicore scalingLéia de Sousa
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC designAishwaryaRavishankar8
 
Automatic solar LED street light automation by using RTC and I2C protocols d...
Automatic solar LED street light automation by using RTC and I2C protocols  d...Automatic solar LED street light automation by using RTC and I2C protocols  d...
Automatic solar LED street light automation by using RTC and I2C protocols d...PRASHANTH RAO
 
IRJET- Power Scheduling Algorithm based Power Optimization of Mpsocs
IRJET-  	  Power Scheduling Algorithm based Power Optimization of MpsocsIRJET-  	  Power Scheduling Algorithm based Power Optimization of Mpsocs
IRJET- Power Scheduling Algorithm based Power Optimization of MpsocsIRJET Journal
 

Similar to greendroid ppts (20)

GreenDroid
GreenDroidGreenDroid
GreenDroid
 
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
 
Greendroid an architecture for dark silicon age
Greendroid   an architecture for dark silicon ageGreendroid   an architecture for dark silicon age
Greendroid an architecture for dark silicon age
 
N045067680
N045067680N045067680
N045067680
 
Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...
 
VLSI GDI Technology
VLSI GDI TechnologyVLSI GDI Technology
VLSI GDI Technology
 
Aw26312325
Aw26312325Aw26312325
Aw26312325
 
Top 10 Supercomputers With Descriptive Information & Analysis
Top 10 Supercomputers With Descriptive Information & AnalysisTop 10 Supercomputers With Descriptive Information & Analysis
Top 10 Supercomputers With Descriptive Information & Analysis
 
Aqeel
AqeelAqeel
Aqeel
 
chameleon chip
chameleon chipchameleon chip
chameleon chip
 
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDICImplementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
 
Dj32693695
Dj32693695Dj32693695
Dj32693695
 
Dj32693695
Dj32693695Dj32693695
Dj32693695
 
Ix3416271631
Ix3416271631Ix3416271631
Ix3416271631
 
Dark silicon and the end of multicore scaling
Dark silicon and the end of multicore scalingDark silicon and the end of multicore scaling
Dark silicon and the end of multicore scaling
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
Ce4301462465
Ce4301462465Ce4301462465
Ce4301462465
 
Automatic solar LED street light automation by using RTC and I2C protocols d...
Automatic solar LED street light automation by using RTC and I2C protocols  d...Automatic solar LED street light automation by using RTC and I2C protocols  d...
Automatic solar LED street light automation by using RTC and I2C protocols d...
 
IRJET- Power Scheduling Algorithm based Power Optimization of Mpsocs
IRJET-  	  Power Scheduling Algorithm based Power Optimization of MpsocsIRJET-  	  Power Scheduling Algorithm based Power Optimization of Mpsocs
IRJET- Power Scheduling Algorithm based Power Optimization of Mpsocs
 
Fo2410191024
Fo2410191024Fo2410191024
Fo2410191024
 

greendroid ppts

  • 1. GREENDROID: EXPLORING THE NEXT EVOLUTION IN SMARTPHONE APPLICATION PROCESSOR
  • 2. CONTENTS  Technology  Problem Statement.  Basic definition involved.  Proposed Schemes.  Design Goals.  Architectures.  Methodology.  Result.  Future Enhancement.  Conclusion.
  • 3. TECHNOLOGY This study is mainly for smart phone application processor. Smartphone applications' energy efficiency is vital, but many Android applications suffer from serious energy inefficiency problems . So Greendroid is discussed to eradicate this problem and make the processors energy efficient.
  • 4. PROBLEMS IN THE SMARTPHONE PROCESSOR  Exponentially worsening problem is “DARK SILICON “ to be the primary force that dictates the evolution in the processor.  Dark Silicon Age kicked off with the transition to multi core. For every new generation of process the percentage of the transistors that a chip can switch at full frequency is dropping exponentially due to power constraints
  • 5. UTILIZATION WALL Utilization wall dictates that due to poor CMOS scaling, improvements in processor performance are determined not by improvements in transistor frequency or transistor count, but rather by the degree to which each process shrink reduces the switching energy for underlying transistors.
  • 6. BASIC DEFINITIONS  TRANSISTORS : A transistor is a semiconductor device used to amplify and switch electronic power and signals.  MICROPROCESSOR : The microprocessor is a programmable device that accepts digital data as input, processes it ,stored in its memory, and provides results as output .  MULTICORE : A multi-core processor is a single computing component with two or more independent actual processing units which are the units that read and execute program instructions.  SILICON : A small piece of semiconducting material on which integrated circuit is embedded.
  • 8. MOORE’S LAW The observation that, over the history of computing hardware, the “number of transistors in a dense integrated circuit doubles approximately every two years”.
  • 9. DESIGN GOALS  Low complexity.  Easy of integration .  Capability.  Utilization wall is a fundamental first order constraint for processor design. to predict this CMOS scaling theory is used.  The architectures needed to be created that can leverage many transistors without actually actively switching them all.
  • 10. GREENDROID ARCHITECTURE  Greendroid processor combines general purpose processor with application specific processor that are very efficient.  Greendroid is a heterogeneous tiled architecture. The tiles caches are kept coherent through a simple cache coherence schemes that allows caches of inactive tiles to be collectively used .  Greendroid tiles however are not uniform each of them contain unique collection of 8 to 15 cores.
  • 11. Android : Green droid's Target Workload.  Android is an excellent target for Greendroid-Style Architecture.  Android comprises of three main components Linux kernel, a collection of native libraries and Dalvik virtual machine.(DVM)  Android’s usage model also reduces the need for the patching in architecture.  This architecture also includes a mesh-based on-chip network.
  • 12. Conservation Cores  It is known as C-Cores specialized coprocessors.  C-cores are a post –multicore approach that constructively reduces the energy consumption of an application by 10x or more.  These cores target specific Android hotspots, including the kernel.  C-cores concept by applying the technique to the Android mobile software stack in order to build a mobile application processor that runs the applications with a fraction of the energy consumption..
  • 13. LIFE CYCLE  C-cores are paired with energy efficient general purpose host CPU and perform all their memory operations through data cache.  Frequently executed hot code regions are implemented using C- cores while cold regions are executed on the host CPU.  C-cores generally have slight improvements in performance versus general purpose cores. They can have up to 18x improvement in energy efficiency.
  • 14. GENERATION OF C-Core  It is relatively a straight forward process .  It is parallelism and regularity-agnostic and employs a function call. Passes through compiler which code reconstitution.  Supports CFG’s ,data structures and arbitrary memory access patterns etc.  After code is been reshaped then respective control flow diagrams and data flow diagrams are drawn for respective process.  Each instruction in the basic block is directly converted into hardware operator.  The live-ins of the DFD’s are turned into register. The control logic is created control logic that sequences the multiplexed operators and stalls the sequencing logic appropriately on a cache miss. This logic represented by using Verilog.
  • 15. METHODOLGY GREENDROID  To reduce the energy consumption a mobile application processor is introduced.  It serves as an prototype for mobile application processor.  Greendroid is research prototype that demonstrates the use of cores that saves energy across the hotspots in the Android mobile phone software stack.  A single Greendroid processor will contain tens or hundreds of different cores that each implement a different key function in Android.  Greendroid attacks one of the most important realities of Moore’s Law.
  • 16. SYNTHESIZING C-CORES FOR GREEENDROID  C-core tool chain converts arbitrary c functions into C-core hardware.  This tool chain targets a much wider range of C constructs and build energy saving C-cores for functions that are poor targets for acceleration.  The tool chain’s profiling pass identifies “hot” functions and loops in the target workload and isolates them by in lining functions and outlining loops.  The data path mirrors the single static assignment program representation the compiler uses internally and groups instruction together by basic block.  The control path tracks the execution through function with a state machine that closely matches the function’s control flow graph .
  • 17. Ctnd..  The compiler also generates function stubs to replace the original functions by invoking the hardware.  C-cores support this by providing targeted reconfigurability that lets them to maintain perfect fidelity to changing source code.  C-cores provide built-in support for changes to compile-time constants as well as general mechanism for transferring the control back to core to execute the individual basic block.
  • 18. RESULT GreenDroid leverage "dark silicon" to dramatically reduce energy consumption by integrating conservation cores in smartphone .C-cores also incorporate focused reconfigurability that allows them to adapt to small changes in the target application while still realizing efficiency gains.
  • 19. Future Scope “Turbo mode “that runs some cores faster if others are switched off. We can expect similar trends for future of mobile processors as well.
  • 20. CONCLUSION The severity of the problem is to develop new architectural tradeoffs that trade Dark Silicon , an exponentially cheapening resource ,for energy which is the true limiter of performance today. Conversation cores and Greendroid offers potential way to attack the Dark silicon problem.