SlideShare a Scribd company logo
1 of 4
Download to read offline
I nternational Journal Of Computational Engineering Research (ijceronline.com) Vol. 2Issue. 8



     Implementation of Serial Communication IP for Soc Applications
                                       1
                                        K. Raghuram, 2A.Lakshmi sudha,
                                  1
                                   Assoc.Professor,Pragati Engg College, Kakinada, AP, India.
                          2
                              Post Graduate Student, Pragati Engg College, Kakinada, Ap, India .

Abstract:
         The serial co mmunication is very co mmonly used communicat ion protocol between various peripherals and
processor. The current trend is all high speed buses are built with serial co mmunicat ion interface.
         The ALTERA’s NIOS II soft processor and PowerPC hard processor are widely used in FPGA based CSOC
(configurable system on chip) applications. These processers don’t have programmable serial lin ks for interfacing with
embedded peripherals wh ich are mostly off chip.
         In this project it is proposed to imp lement dynamically configurable serial co mmun ication block in Verilog. The
developed module shall be interfaced with NIOS II soft processor as a general purpose IO port. The serial interface
blocks shall be imp lemented to handle high data rate serial links and provide paralle l interface to the processor.
The Nios II IDE (EDK) shall be used for developing the test application in C programming language. The serial interface
blocks which are coded in Verilog shall be synthesized using QUARTUS II EDA tool. The CYCLONE III family FPGA
board shall be used for verifying the results on board.

I.     INTRODUCTION
           In electronic design a semiconductor intellectual property core, IP core, or IP block is a reusable unit of logic,
cell, or chip layout design that is the intellectual property of one party. IP cores may be licensed to another party or can
be owned and used by a single party alone. The term is derived fro m the licensing of the patent and source
code copyright intellectual property rights that subsist in the design.
          IP cores can be used as building blocks within ASIC ch ip designs or FPGA logic designs It IP cores in the
electronic design industry have had a profound impact on the design of systems on a chip. By licensing a design multiple
times, IP core licensor spread the cost of development among mult iple chip makers. IP cores for standard processors,
interfaces, and internal functions have enabled chip makers to put more of their resources into developing the
differentiating features of their ch ips. As a result, chip makers have developed innovations more quickly.

II. Typres of Ip Cores:
                       The IP core can be described as being for chip design what a library is for computer programming or
a discrete integrated circuit co mponent is for printed circuit board design.
A. SOFT CORES:
           As the complexity of embedded systems designs increased over time, designing each and every hardware
component of the system fro m scratch soon became far too imp ractical and expensive for most designers. Therefore, the
idea of using pre-designed and pre-tested intellectual property (IP) cores in designs became an attractive alternative. Soft-
core processors are microprocessors whose architecture and behavior are fu lly described using a synthesizable subset of a
hardware description language (HDL). They can be synthesized for any Application -Specific Integrated Circuit (ASIC)
or Field Programmab le Gate Array (FPGA ) technology; therefore they provide designers with a substantial amount of
flexib ility.
           The use of soft-core processors holds many advantages for the designer of an embedded system. First , soft-core
processors are flexible and can be customized for a specific application with relat ive ease. Second, since soft -core
processors are technology independent and can be synthesized for any given target ASIC or FPGA technology, they are
therefore more immune to beco ming obsolete when compared with circuit or log ic level descriptions of a processor.
 Finally, since a soft-core processor’s architecture and behavior are described at a higher abstraction level using an HDL,
it becomes much easier to understand the overall design. Th is paper presents a survey of the available soft -core
processors that are used to design and implement embedded systems using either FPGAs or ASICs.
B. HARD CORES :
                   Hard cores, by the nature of their low-level representation, offer better predictability of ch ip performance
in terms of t iming performance and area.
Analog and mixed-signal logic are generally defined as a lower-level, physical description. Hence, analog IP
(SerDes, PLLs, DAC, ADC, etc.) are p rovided to chip makers in transistor-layout format (such as GDSII.) Digital IP
cores are sometimes offered in layout format, as well.
           Such cores, whether analog or digital, are called "hard cores" (or hard macros), because the core's application
function cannot be meaningfully modified by chip designers. Transistor layouts must obey the target foundry's process
design rules, and hence, hard cores delivered for one foundry's process cannot be easily ported to a different process or
foundry. Merchant foundry operators (such as IBM,Fu jitsu, Samsung, TI, etc.) offer a variety of hard-macro IP functions
built for their o wn foundry process, helping to ensure customer lock-in.
||Issn 2250-3005(online)||                            ||December|| 2012||                                    ||||Pa ge 116
I nternational Journal Of Computational Engineering Research (ijceronline.com) Vol. 2Issue. 8



III. Commercial Cores and Tools:
           Nios II, Micro Blaze, Pico Blaze and Xtensa are the leading soft-core processors provided by Altera, Xilin x and
Ten silica respectively. In this section, we will d iscuss the important features of each soft -core processor. Nios II by
Altera Corporat ion: Altera Corporation is one of the leading vendors of Programmable Logic Devices (PLDs) and
FPGAs.
           They offer the Stratix, Strat ix II and Cyclone families of FPGAs that are widely used in the design of embedded
systems and digital signal processing (DSP) applications. They also provide associated CAD tools such as Quartus II and
System-on-Programmable-Ch ip (SOPC) Builder that allow designers to synthesize, program and debug their designs and
build embedded systems on Altera’s FPGAs.
           The Nios II Processor is their flagship IP soft-core processor and can be instantiated with any embedded system
design. This processor is the successor of Altera’s original Nios softcore processor and features major improvements
focused on the reduction of logic element (LE) consumption on an FPGA and improved performance.
           The Nios II Soft-Core Processor is a general purpose Reduced Instruction Set Computer (RISC) processor core
and features Harvard memory arch itecture. This core is widely used with Altera FPGAs and SOPC Builder. This
processor features a full 32-b it Instruction Set Architecture (ISA), 32 general-purpose registers, single-instruction 32x32
mu ltip ly and divide operations, and dedicated instructions for 64-b itand 128-b it products of mult iplication.
 The Nios II also has a performance of more than 150 Dhrystone MIPS (DMIPS) on the Stratix family of FPGAs. This
soft-core processor comes in three versions: economy, standard and fast core. Each core version modifies the number of
pipeline stages; instruction and data cache memories and hardware components for multiply and divide operations. In
addition, each core varies in size and perfo rmance depending on the features that are selected.
           Adding peripherals with the Nios II Processors is done through the Avalon Interfac e Bus which contains the
necessary logic to interface the processor with off-the-shelf IP cores or custom made peripherals. Micro Blaze and Pico
Blaze by Xilin x Incorporated: Xilin x Incorporated are the makers of the Spartan and Virtex families of FPGAs. In
addition, they also offer soft IP cores that target their FPGAs.
The fundamental co mponents that build up a HW/SW system are a CPU (Central Processing Unit) wh ich processes the
informat ion in a system, On-chip RAM (Rando m Access Memory) to store the instructions for the CPU and a JATG
UART (Jo int Test Access Group Universal Asynchronous Receiver Transmitter) for commun ication with the host
computer. These components communicate with each other through the system bus, see figure below.




                                            Figure1. system Architecture
The system is generated with the help of SOPC Bu ilder tool. This tool makes easy to specify the system components and
their connections and generate a complete system-on programmable- ch ip (SOPC) in much less time than using
traditional, manual integration methods.

IV. Software Development For The System In Niosii Ide:
         By this stage the HW structure of the system is co mplete. To utilize it and verify whether it is working correctly,
software has to be created. The programming language that is u sed is ANSI C. ANSI C (Standard C) is one standardized
version of the C programming language. Before the code (software) can be generated and executed, a project has to be
built in Nios II IDE(”user application project”) which in turn needs a system library pro ject (”Hardware Abstraction
Layer (HA L) system library project”). The system library is created by Nios II IDE automatically after the user
application project is created.




||Issn 2250-3005(online)||                          ||December|| 2012||                                   ||||Pa ge 117
I nternational Journal Of Computational Engineering Research (ijceronline.com) Vol. 2Issue. 8




                                              Figure 2. Nios II IDE window.




                                               Figure 3 Perfo rmance report

                                               PERFORMA NCE REPORT:




                                     Figure 4. Fmax. Su mmary report of slo w corner.

V. Conclusion
         The serial interface blocks are implemented to handle high data rate serial lin ks and provide parallel interface to
the processor. The serial interface is interconnected with processor finally through FPGA imp lementation we verified the
functionality. The serial interface blocks which is coded in Verilog is successfully synthesized using QUARTUS II EDA
tool. We got the speed rate of around 150M Hz clock rate.


||Issn 2250-3005(online)||                          ||December|| 2012||                                   ||||Pa ge 118
I nternational Journal Of Computational Engineering Research (ijceronline.com) Vol. 2Issue. 8



Reference
 [1]    X. Wang and S.G. Ziavras, “Parallel LU Factorization of Sparse Matrices onFPGA -Based Configurable
        Co mputing Eng ines,” Concurrency and Computation:Practice and Experience, vol. 16, no. 4, (April 2004), pp.
        319-343.
 [2]    Altera Corporation, “Nios Embedded Processor System Develop ment,” [OnlineDocu ment, Cited 2004 February
        2], Availab le HTTP:http://www.altera.co m/products/ip/processors/nios/nio-index.ht ml
 [3]    Xilin x, Inc., “MicroBlaze Soft Processor,” [Online Document, Cited 2004 February2],vailableHTTP:
        http://www.xilin x.co m/ xln x/ xil_prodcat_product.jsp?title=microblaze
 [4]    K. Co mpton and S. Hauck, “Reconfigurable Co mputing: A Survey of Systems andSoftware,” ACM Computing
        Surveys, vol. 34, no. 2 (June 2002), pp. 171-210.
 [5]    R. K. Gupta and Y. Zorian, “Introducing Core -Based System Design,” IEEE Design andTest of Computers, vol.
        14, no. 4 (October-December 1997), pp 15-25.
 [6]    Opencores.org Web Site, [Online Docu ment, Cited 2004 February 9]
 [7]    Altera Corporation, “Excalibur Devices,” [On line Document, Cited 2004 February 7],Available HTTP:
        http://www.altera.co m/products/devices/arm/arm-index.html
 [8]    Xilin x, Inc., “PowerPC Embedded Processor Solution,” [On line Document, Cited 2004February 7], Available
        HTTP:http://www.xilin x.co m/ xln x/ xil_prodcat_product.jsp?title=v2p_powerpc
 [9]    Xilin x, Inc., “MicroBlaze Processor Reference Gu ide,” [On line Document], 2003September, [Cited 2004
        February 2], Available HTTP:http://www.xilin x.co m/ise/embedded/mb_ref_guide.pdf
 [10]   Xilin x, Inc., “PicoBlaze 8-Bit Microcontroller for Virtex-E and Spartan-II/IIE Devices,”[Online Docu ment],
        2003 February , [Cited 2004 February 2], Availab le HTTP:http://www.xilin x.co m/bvdocs/appnotes/xapp213.pdf
 [11]   V. Bet z, J. Rose, and A. Marquardt, Architecture and CAD for Deep-Submicron FPGAs,Kluwer Academic
        Publishers: Norwell, MA, 1999.
 [12]   Altera Corporation, “Strat ix Dev ice Handbook,” [On line Docu ment], 2004 January, [Cited 2004 February 3],
        Available HTTP:http://www.altera.co m/ literature/hb/stx/stratix_handbook.pdf




||Issn 2250-3005(online)||                       ||December|| 2012||                                ||||Pa ge 119

More Related Content

What's hot

FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONieijjournal
 
Electronic Design Automation
Electronic Design AutomationElectronic Design Automation
Electronic Design AutomationCADD Centre
 
Sudhakar_Resume
Sudhakar_ResumeSudhakar_Resume
Sudhakar_Resumesudhakar
 
ASICSoft Technologies Overview - R&D
ASICSoft Technologies Overview - R&DASICSoft Technologies Overview - R&D
ASICSoft Technologies Overview - R&DTony Devlin
 
2018 Intel AI Developer Conference Keynote
2018 Intel AI Developer Conference Keynote2018 Intel AI Developer Conference Keynote
2018 Intel AI Developer Conference KeynoteDESMOND YUEN
 
Iirdem design and implementation of finger writing in air by using open cv (c...
Iirdem design and implementation of finger writing in air by using open cv (c...Iirdem design and implementation of finger writing in air by using open cv (c...
Iirdem design and implementation of finger writing in air by using open cv (c...Iaetsd Iaetsd
 
Altera’s Role In Accelerating the Internet of Things
Altera’s Role In Accelerating the Internet of ThingsAltera’s Role In Accelerating the Internet of Things
Altera’s Role In Accelerating the Internet of ThingsAltera Corporation
 
Linux Kernel , BSP, Boot Loader, ARM Engineer - Satish profile
Linux Kernel , BSP, Boot Loader, ARM Engineer - Satish profileLinux Kernel , BSP, Boot Loader, ARM Engineer - Satish profile
Linux Kernel , BSP, Boot Loader, ARM Engineer - Satish profileSatish Kumar
 
Increasing Throughput per Node for Content Delivery Networks
Increasing Throughput per Node for Content Delivery NetworksIncreasing Throughput per Node for Content Delivery Networks
Increasing Throughput per Node for Content Delivery NetworksDESMOND YUEN
 
A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS
A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS
A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS MOHAMMED FURQHAN
 
29937 845g pb
29937 845g pb29937 845g pb
29937 845g pbhizzx
 
Intel Core second generation Sandy Bridge new models and specifications
Intel Core second generation Sandy Bridge new models and specificationsIntel Core second generation Sandy Bridge new models and specifications
Intel Core second generation Sandy Bridge new models and specificationsdegarden
 

What's hot (19)

FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
Electronic Design Automation
Electronic Design AutomationElectronic Design Automation
Electronic Design Automation
 
Sudhakar_Resume
Sudhakar_ResumeSudhakar_Resume
Sudhakar_Resume
 
91 94
91 9491 94
91 94
 
ASICSoft Technologies Overview - R&D
ASICSoft Technologies Overview - R&DASICSoft Technologies Overview - R&D
ASICSoft Technologies Overview - R&D
 
VLSI
VLSIVLSI
VLSI
 
Project
ProjectProject
Project
 
2018 Intel AI Developer Conference Keynote
2018 Intel AI Developer Conference Keynote2018 Intel AI Developer Conference Keynote
2018 Intel AI Developer Conference Keynote
 
Iirdem design and implementation of finger writing in air by using open cv (c...
Iirdem design and implementation of finger writing in air by using open cv (c...Iirdem design and implementation of finger writing in air by using open cv (c...
Iirdem design and implementation of finger writing in air by using open cv (c...
 
Altera’s Role In Accelerating the Internet of Things
Altera’s Role In Accelerating the Internet of ThingsAltera’s Role In Accelerating the Internet of Things
Altera’s Role In Accelerating the Internet of Things
 
Linux Kernel , BSP, Boot Loader, ARM Engineer - Satish profile
Linux Kernel , BSP, Boot Loader, ARM Engineer - Satish profileLinux Kernel , BSP, Boot Loader, ARM Engineer - Satish profile
Linux Kernel , BSP, Boot Loader, ARM Engineer - Satish profile
 
Increasing Throughput per Node for Content Delivery Networks
Increasing Throughput per Node for Content Delivery NetworksIncreasing Throughput per Node for Content Delivery Networks
Increasing Throughput per Node for Content Delivery Networks
 
Resume_updated
Resume_updatedResume_updated
Resume_updated
 
A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS
A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS
A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS
 
Chapter 4
Chapter 4Chapter 4
Chapter 4
 
29937 845g pb
29937 845g pb29937 845g pb
29937 845g pb
 
Intel Core second generation Sandy Bridge new models and specifications
Intel Core second generation Sandy Bridge new models and specificationsIntel Core second generation Sandy Bridge new models and specifications
Intel Core second generation Sandy Bridge new models and specifications
 
Rohan resume
Rohan resumeRohan resume
Rohan resume
 
FPGA
FPGAFPGA
FPGA
 

Viewers also liked

10 Insightful Quotes On Designing A Better Customer Experience
10 Insightful Quotes On Designing A Better Customer Experience10 Insightful Quotes On Designing A Better Customer Experience
10 Insightful Quotes On Designing A Better Customer ExperienceYuan Wang
 
Learn BEM: CSS Naming Convention
Learn BEM: CSS Naming ConventionLearn BEM: CSS Naming Convention
Learn BEM: CSS Naming ConventionIn a Rocket
 
How to Build a Dynamic Social Media Plan
How to Build a Dynamic Social Media PlanHow to Build a Dynamic Social Media Plan
How to Build a Dynamic Social Media PlanPost Planner
 
SEO: Getting Personal
SEO: Getting PersonalSEO: Getting Personal
SEO: Getting PersonalKirsty Hulse
 
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika AldabaLightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldabaux singapore
 

Viewers also liked (6)

10 Insightful Quotes On Designing A Better Customer Experience
10 Insightful Quotes On Designing A Better Customer Experience10 Insightful Quotes On Designing A Better Customer Experience
10 Insightful Quotes On Designing A Better Customer Experience
 
Learn BEM: CSS Naming Convention
Learn BEM: CSS Naming ConventionLearn BEM: CSS Naming Convention
Learn BEM: CSS Naming Convention
 
How to Build a Dynamic Social Media Plan
How to Build a Dynamic Social Media PlanHow to Build a Dynamic Social Media Plan
How to Build a Dynamic Social Media Plan
 
SEO: Getting Personal
SEO: Getting PersonalSEO: Getting Personal
SEO: Getting Personal
 
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika AldabaLightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
 
Succession “Losers”: What Happens to Executives Passed Over for the CEO Job?
Succession “Losers”: What Happens to Executives Passed Over for the CEO Job? Succession “Losers”: What Happens to Executives Passed Over for the CEO Job?
Succession “Losers”: What Happens to Executives Passed Over for the CEO Job?
 

Similar to International Journal of Computational Engineering Research(IJCER)

Electronics Engineer Portfolio
Electronics Engineer PortfolioElectronics Engineer Portfolio
Electronics Engineer PortfolioAnupama Sujith
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONieijjournal
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONieijjournal1
 
FEL Flyer F12
FEL Flyer F12FEL Flyer F12
FEL Flyer F12chitlesh
 
CV-RENJINIK-27062016
CV-RENJINIK-27062016CV-RENJINIK-27062016
CV-RENJINIK-27062016Renjini K
 
Programmable logic controller performance enhancement by field programmable g...
Programmable logic controller performance enhancement by field programmable g...Programmable logic controller performance enhancement by field programmable g...
Programmable logic controller performance enhancement by field programmable g...ISA Interchange
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_finalAkash Chowdhury
 
SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT I Core of Embedded Systems
SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT I   Core of Embedded SystemsSYBSC IT SEM IV EMBEDDED SYSTEMS UNIT I   Core of Embedded Systems
SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT I Core of Embedded SystemsArti Parab Academics
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGAvelamakuri
 
Programmable ics
Programmable icsProgrammable ics
Programmable ics779061702
 
Specialized parallel computing
Specialized parallel computingSpecialized parallel computing
Specialized parallel computingAlaref Abushaala
 
Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI NIT Raipur
 
Design and Implementation of Quintuple Processor Architecture Using FPGA
Design and Implementation of Quintuple Processor Architecture Using FPGADesign and Implementation of Quintuple Processor Architecture Using FPGA
Design and Implementation of Quintuple Processor Architecture Using FPGAIJERA Editor
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applicationsSudhanshu Janwadkar
 

Similar to International Journal of Computational Engineering Research(IJCER) (20)

14 284-291
14 284-29114 284-291
14 284-291
 
Detailed Cv
Detailed CvDetailed Cv
Detailed Cv
 
Electronics Engineer Portfolio
Electronics Engineer PortfolioElectronics Engineer Portfolio
Electronics Engineer Portfolio
 
Research Paper
Research PaperResearch Paper
Research Paper
 
Fpg as 11 body
Fpg as 11 bodyFpg as 11 body
Fpg as 11 body
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
FEL Flyer F12
FEL Flyer F12FEL Flyer F12
FEL Flyer F12
 
CV-RENJINIK-27062016
CV-RENJINIK-27062016CV-RENJINIK-27062016
CV-RENJINIK-27062016
 
Programmable logic controller performance enhancement by field programmable g...
Programmable logic controller performance enhancement by field programmable g...Programmable logic controller performance enhancement by field programmable g...
Programmable logic controller performance enhancement by field programmable g...
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_final
 
FPGA @ UPB-BGA
FPGA @ UPB-BGAFPGA @ UPB-BGA
FPGA @ UPB-BGA
 
SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT I Core of Embedded Systems
SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT I   Core of Embedded SystemsSYBSC IT SEM IV EMBEDDED SYSTEMS UNIT I   Core of Embedded Systems
SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT I Core of Embedded Systems
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGA
 
Programmable ics
Programmable icsProgrammable ics
Programmable ics
 
soc design for dsp applications
soc design for dsp applicationssoc design for dsp applications
soc design for dsp applications
 
Specialized parallel computing
Specialized parallel computingSpecialized parallel computing
Specialized parallel computing
 
Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI
 
Design and Implementation of Quintuple Processor Architecture Using FPGA
Design and Implementation of Quintuple Processor Architecture Using FPGADesign and Implementation of Quintuple Processor Architecture Using FPGA
Design and Implementation of Quintuple Processor Architecture Using FPGA
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
 

International Journal of Computational Engineering Research(IJCER)

  • 1. I nternational Journal Of Computational Engineering Research (ijceronline.com) Vol. 2Issue. 8 Implementation of Serial Communication IP for Soc Applications 1 K. Raghuram, 2A.Lakshmi sudha, 1 Assoc.Professor,Pragati Engg College, Kakinada, AP, India. 2 Post Graduate Student, Pragati Engg College, Kakinada, Ap, India . Abstract: The serial co mmunication is very co mmonly used communicat ion protocol between various peripherals and processor. The current trend is all high speed buses are built with serial co mmunicat ion interface. The ALTERA’s NIOS II soft processor and PowerPC hard processor are widely used in FPGA based CSOC (configurable system on chip) applications. These processers don’t have programmable serial lin ks for interfacing with embedded peripherals wh ich are mostly off chip. In this project it is proposed to imp lement dynamically configurable serial co mmun ication block in Verilog. The developed module shall be interfaced with NIOS II soft processor as a general purpose IO port. The serial interface blocks shall be imp lemented to handle high data rate serial links and provide paralle l interface to the processor. The Nios II IDE (EDK) shall be used for developing the test application in C programming language. The serial interface blocks which are coded in Verilog shall be synthesized using QUARTUS II EDA tool. The CYCLONE III family FPGA board shall be used for verifying the results on board. I. INTRODUCTION In electronic design a semiconductor intellectual property core, IP core, or IP block is a reusable unit of logic, cell, or chip layout design that is the intellectual property of one party. IP cores may be licensed to another party or can be owned and used by a single party alone. The term is derived fro m the licensing of the patent and source code copyright intellectual property rights that subsist in the design. IP cores can be used as building blocks within ASIC ch ip designs or FPGA logic designs It IP cores in the electronic design industry have had a profound impact on the design of systems on a chip. By licensing a design multiple times, IP core licensor spread the cost of development among mult iple chip makers. IP cores for standard processors, interfaces, and internal functions have enabled chip makers to put more of their resources into developing the differentiating features of their ch ips. As a result, chip makers have developed innovations more quickly. II. Typres of Ip Cores: The IP core can be described as being for chip design what a library is for computer programming or a discrete integrated circuit co mponent is for printed circuit board design. A. SOFT CORES: As the complexity of embedded systems designs increased over time, designing each and every hardware component of the system fro m scratch soon became far too imp ractical and expensive for most designers. Therefore, the idea of using pre-designed and pre-tested intellectual property (IP) cores in designs became an attractive alternative. Soft- core processors are microprocessors whose architecture and behavior are fu lly described using a synthesizable subset of a hardware description language (HDL). They can be synthesized for any Application -Specific Integrated Circuit (ASIC) or Field Programmab le Gate Array (FPGA ) technology; therefore they provide designers with a substantial amount of flexib ility. The use of soft-core processors holds many advantages for the designer of an embedded system. First , soft-core processors are flexible and can be customized for a specific application with relat ive ease. Second, since soft -core processors are technology independent and can be synthesized for any given target ASIC or FPGA technology, they are therefore more immune to beco ming obsolete when compared with circuit or log ic level descriptions of a processor. Finally, since a soft-core processor’s architecture and behavior are described at a higher abstraction level using an HDL, it becomes much easier to understand the overall design. Th is paper presents a survey of the available soft -core processors that are used to design and implement embedded systems using either FPGAs or ASICs. B. HARD CORES : Hard cores, by the nature of their low-level representation, offer better predictability of ch ip performance in terms of t iming performance and area. Analog and mixed-signal logic are generally defined as a lower-level, physical description. Hence, analog IP (SerDes, PLLs, DAC, ADC, etc.) are p rovided to chip makers in transistor-layout format (such as GDSII.) Digital IP cores are sometimes offered in layout format, as well. Such cores, whether analog or digital, are called "hard cores" (or hard macros), because the core's application function cannot be meaningfully modified by chip designers. Transistor layouts must obey the target foundry's process design rules, and hence, hard cores delivered for one foundry's process cannot be easily ported to a different process or foundry. Merchant foundry operators (such as IBM,Fu jitsu, Samsung, TI, etc.) offer a variety of hard-macro IP functions built for their o wn foundry process, helping to ensure customer lock-in. ||Issn 2250-3005(online)|| ||December|| 2012|| ||||Pa ge 116
  • 2. I nternational Journal Of Computational Engineering Research (ijceronline.com) Vol. 2Issue. 8 III. Commercial Cores and Tools: Nios II, Micro Blaze, Pico Blaze and Xtensa are the leading soft-core processors provided by Altera, Xilin x and Ten silica respectively. In this section, we will d iscuss the important features of each soft -core processor. Nios II by Altera Corporat ion: Altera Corporation is one of the leading vendors of Programmable Logic Devices (PLDs) and FPGAs. They offer the Stratix, Strat ix II and Cyclone families of FPGAs that are widely used in the design of embedded systems and digital signal processing (DSP) applications. They also provide associated CAD tools such as Quartus II and System-on-Programmable-Ch ip (SOPC) Builder that allow designers to synthesize, program and debug their designs and build embedded systems on Altera’s FPGAs. The Nios II Processor is their flagship IP soft-core processor and can be instantiated with any embedded system design. This processor is the successor of Altera’s original Nios softcore processor and features major improvements focused on the reduction of logic element (LE) consumption on an FPGA and improved performance. The Nios II Soft-Core Processor is a general purpose Reduced Instruction Set Computer (RISC) processor core and features Harvard memory arch itecture. This core is widely used with Altera FPGAs and SOPC Builder. This processor features a full 32-b it Instruction Set Architecture (ISA), 32 general-purpose registers, single-instruction 32x32 mu ltip ly and divide operations, and dedicated instructions for 64-b itand 128-b it products of mult iplication. The Nios II also has a performance of more than 150 Dhrystone MIPS (DMIPS) on the Stratix family of FPGAs. This soft-core processor comes in three versions: economy, standard and fast core. Each core version modifies the number of pipeline stages; instruction and data cache memories and hardware components for multiply and divide operations. In addition, each core varies in size and perfo rmance depending on the features that are selected. Adding peripherals with the Nios II Processors is done through the Avalon Interfac e Bus which contains the necessary logic to interface the processor with off-the-shelf IP cores or custom made peripherals. Micro Blaze and Pico Blaze by Xilin x Incorporated: Xilin x Incorporated are the makers of the Spartan and Virtex families of FPGAs. In addition, they also offer soft IP cores that target their FPGAs. The fundamental co mponents that build up a HW/SW system are a CPU (Central Processing Unit) wh ich processes the informat ion in a system, On-chip RAM (Rando m Access Memory) to store the instructions for the CPU and a JATG UART (Jo int Test Access Group Universal Asynchronous Receiver Transmitter) for commun ication with the host computer. These components communicate with each other through the system bus, see figure below. Figure1. system Architecture The system is generated with the help of SOPC Bu ilder tool. This tool makes easy to specify the system components and their connections and generate a complete system-on programmable- ch ip (SOPC) in much less time than using traditional, manual integration methods. IV. Software Development For The System In Niosii Ide: By this stage the HW structure of the system is co mplete. To utilize it and verify whether it is working correctly, software has to be created. The programming language that is u sed is ANSI C. ANSI C (Standard C) is one standardized version of the C programming language. Before the code (software) can be generated and executed, a project has to be built in Nios II IDE(”user application project”) which in turn needs a system library pro ject (”Hardware Abstraction Layer (HA L) system library project”). The system library is created by Nios II IDE automatically after the user application project is created. ||Issn 2250-3005(online)|| ||December|| 2012|| ||||Pa ge 117
  • 3. I nternational Journal Of Computational Engineering Research (ijceronline.com) Vol. 2Issue. 8 Figure 2. Nios II IDE window. Figure 3 Perfo rmance report PERFORMA NCE REPORT: Figure 4. Fmax. Su mmary report of slo w corner. V. Conclusion The serial interface blocks are implemented to handle high data rate serial lin ks and provide parallel interface to the processor. The serial interface is interconnected with processor finally through FPGA imp lementation we verified the functionality. The serial interface blocks which is coded in Verilog is successfully synthesized using QUARTUS II EDA tool. We got the speed rate of around 150M Hz clock rate. ||Issn 2250-3005(online)|| ||December|| 2012|| ||||Pa ge 118
  • 4. I nternational Journal Of Computational Engineering Research (ijceronline.com) Vol. 2Issue. 8 Reference [1] X. Wang and S.G. Ziavras, “Parallel LU Factorization of Sparse Matrices onFPGA -Based Configurable Co mputing Eng ines,” Concurrency and Computation:Practice and Experience, vol. 16, no. 4, (April 2004), pp. 319-343. [2] Altera Corporation, “Nios Embedded Processor System Develop ment,” [OnlineDocu ment, Cited 2004 February 2], Availab le HTTP:http://www.altera.co m/products/ip/processors/nios/nio-index.ht ml [3] Xilin x, Inc., “MicroBlaze Soft Processor,” [Online Document, Cited 2004 February2],vailableHTTP: http://www.xilin x.co m/ xln x/ xil_prodcat_product.jsp?title=microblaze [4] K. Co mpton and S. Hauck, “Reconfigurable Co mputing: A Survey of Systems andSoftware,” ACM Computing Surveys, vol. 34, no. 2 (June 2002), pp. 171-210. [5] R. K. Gupta and Y. Zorian, “Introducing Core -Based System Design,” IEEE Design andTest of Computers, vol. 14, no. 4 (October-December 1997), pp 15-25. [6] Opencores.org Web Site, [Online Docu ment, Cited 2004 February 9] [7] Altera Corporation, “Excalibur Devices,” [On line Document, Cited 2004 February 7],Available HTTP: http://www.altera.co m/products/devices/arm/arm-index.html [8] Xilin x, Inc., “PowerPC Embedded Processor Solution,” [On line Document, Cited 2004February 7], Available HTTP:http://www.xilin x.co m/ xln x/ xil_prodcat_product.jsp?title=v2p_powerpc [9] Xilin x, Inc., “MicroBlaze Processor Reference Gu ide,” [On line Document], 2003September, [Cited 2004 February 2], Available HTTP:http://www.xilin x.co m/ise/embedded/mb_ref_guide.pdf [10] Xilin x, Inc., “PicoBlaze 8-Bit Microcontroller for Virtex-E and Spartan-II/IIE Devices,”[Online Docu ment], 2003 February , [Cited 2004 February 2], Availab le HTTP:http://www.xilin x.co m/bvdocs/appnotes/xapp213.pdf [11] V. Bet z, J. Rose, and A. Marquardt, Architecture and CAD for Deep-Submicron FPGAs,Kluwer Academic Publishers: Norwell, MA, 1999. [12] Altera Corporation, “Strat ix Dev ice Handbook,” [On line Docu ment], 2004 January, [Cited 2004 February 3], Available HTTP:http://www.altera.co m/ literature/hb/stx/stratix_handbook.pdf ||Issn 2250-3005(online)|| ||December|| 2012|| ||||Pa ge 119