SlideShare a Scribd company logo
1 of 101
Ch. 4 Boolean Algebra and Logic Simplification ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Introduction ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],Basic Functions
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Basic Functions ( 계속 )
Basic Functions ( 계속 )
Boolean Operations and Expressions ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Basic Identities of Boolean Algebra The relationship between a single variable X, its complement X  , and the binary constants 0 and 1
Laws of Boolean Algebra ,[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],Laws of Boolean Algebra ( 계속 )
[object Object],Laws of Boolean Algebra ( 계속 ) A B C X Y X=Y
[object Object],Laws of Boolean Algebra ( 계속 ) A B C D X Y X=Y
[object Object],[object Object],A X X=A+0=A Rules of Boolean Algebra
[object Object],[object Object],Rules of Boolean Algebra ( 계속 ) A X X=A+1=1
[object Object],[object Object],Rules of Boolean Algebra ( 계속 ) A X X=A0 = 0
[object Object],[object Object],Rules of Boolean Algebra ( 계속 ) A X X=A1=A A
[object Object],[object Object],Rules of Boolean Algebra ( 계속 ) A A X A=A+A =A
[object Object],[object Object],Rules of Boolean Algebra ( 계속 ) A A’ X X=+A’=1
[object Object],[object Object],Rules of Boolean Algebra ( 계속 ) A A X A=AA=A
[object Object],[object Object],Rules of Boolean Algebra ( 계속 ) A A’ X X=AA’=0
[object Object],[object Object],Rules of Boolean Algebra ( 계속 ) A X X=(A’)’=A
Rules of Boolean Algebra ( 계속 ) A B X ,[object Object]
[object Object],[object Object],Rules of Boolean Algebra ( 계속 ) A B X Y X=Y
Rules of Boolean Algebra ( 계속 ) A B C X Y ,[object Object]
[object Object],[object Object],[object Object],[object Object],DeMorgan’s Theorems
 
Look at (A +B +C + D)’ = A’ • B’ • C’ • D’
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Boolean Analysis of Logic Circuits ,[object Object],Figure 4-16  A logic circuit showing the development of the Boolean expression for the output.
[object Object],[object Object],[object Object],[object Object],[object Object]
Truth Table from Logic Circuit A(B+CD)=m11+m12+m13+m14+m15 =  (11,12,13,14,15)  Output Input 1 1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 0 0 1 1 1 1 1 0 1 0 0 1 0 1 0 1 0 0 1 0 0 0 0 1 0 1 1 1 0 0 0 1 1 0 0 1 0 1 0 0 0 0 1 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 A(B+CD) D C B A
[object Object],[object Object],[object Object],Simplification Using Boolean Algebra
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Standard Forms of Boolean Expressions   ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Standard SOP Form (Canonical SOP Form) ,[object Object],[object Object],[object Object],[object Object]
Product-of-Sums Form ,[object Object],[object Object]
Standard POS Form (Canonical POS Form) ,[object Object],[object Object],[object Object],[object Object]
Converting Standard SOP to Standard POS ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Boolean Expressions and Truth Tables ,[object Object],[object Object],ABC 1 1  1  1 0 1  1  0 0 1  0  1 AB’C’ 1 1  0  0 0 0  1  1 0 0  1  0 A’B’C 1 0  0  1 0 0  0  0 Product Term Output X Inputs A  B  C
[object Object],[object Object],1 1  1  1 A’+B’+C 0 1  1  0 A’+B+C’ 0 1  0  1 1 1  0  0 A+B’+C’ 0 0  1  1 A+B’+C 0 0  1  0 1 0  0  1 A+B+C 0 0  0  0 Sum Term Output X Inputs A  B  C
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],1 1  1  1 1 1  1  0 0 1  0  1 1 1  0  0 1 0  1  1 0 0  1  0 0 0  0  1 0 0  0  0 Output X Inputs A  B  C
Boolean Expression Truth Table Logic Diagram
Karnaugh Map ,[object Object],[object Object],[object Object],[object Object],XY+XY  =X(Y+Y  )=X
 
Three- and Four-input Kanaugh maps Gray code
 
 
Gray code sequence generation
F(X,Y,Z)=  m(0,1,2,6) =(XY  +YZ)  =X’Y’ + YZ’
[object Object],0  1  3  2 4  5  7  6
Example)  F(X,Y,Z)=  m(0,2,4,6) = X  Z  +XZ    =Z  (X  +X)=Z 
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
 
 
F(W, X,Y,Z)=  m(0,2,7,8,9,10,11) = WX’ + X’Z’ +  W’XYZ
Karnaugh Map SOP Minimization ,[object Object]
[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Karnaugh Map Simplification of SOP Expressions ,[object Object],[object Object],[object Object]
[object Object]
[object Object],[object Object]
[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object]
Mapping Directly from a Truth Table
Don’t Care Conditions ,[object Object],[object Object]
Karnaugh Map POS Minimization ,[object Object],[object Object],[object Object]
[object Object],[object Object]
[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object]
Converting Between POS and SOP Using the K-map ,[object Object],[object Object]
 
Five/Six –Variable K-Maps ,[object Object],0  1  3  2  4  5  7  6 12  13  15  14 8  9  11  10 16  17  19  18 20  21  23  22 28  29  31  30 24  25  27  26 00  01  11  10 00  01  11  10 BC DE A=0 A=1
[object Object],0  1  3  2  4  5  7  6 12  13  15  14 8  9  11  10 16  17  19  18 20  21  23  22 28  29  31  30 24  25  27  26 00  01  11  10 00  01  11  10 CD EF AB 32  33  35  34 36  37  39  38 44  45  47  46 40  41  43  42 48  49  51  50 52  53  55  54 60  61  62  63 56  57  59  58 00 10   01 11
[object Object],[object Object]
Programmable Logic: PALs and  GALs ,[object Object],[object Object],[object Object]
[object Object]
 
[object Object],[object Object]
PAL Block Diagram
PAL Output Combinational Logic X  0=X X  1=X’
A Specific PAL Figure 4-50  Block diagram of the  PAL16L8 .
Basic GAL Operation ,[object Object],[object Object]
Figure 4-52  GAL implementation of a sum-of-products expression.
[object Object],[object Object]
The GAL Block Diagram ,[object Object],[object Object],[object Object]
 
GAL20V8 High Performance E2CMOS PLD Generic Array Logic™
Boolean Expressions with VHDL ,[object Object],[object Object],[object Object],-- Program  X=(AC+(BC’)’+D)’+((BC)’)’ entity alogicft is port(A, B, C, D: in bit; X: out bit); end entity alogicft; architecture expaft of alogicft is begin X<=not((A and C) or not(B and not C) or D) or not(not B  and C); end architecture expaft;
--  Program  X=(AC+(BC’)’+D)’+((BC)’)’=(A’+C’)(BC’)D’+BC  --  =A’BC’D’+BC’D’+BC=(A’+1)BC’D’+BC =  BC’D’+BC entity alogicft is port(B, C, D: in bit; X: out bit); end entity alogicft; architecture expaft of alogicft is begin X<= (B and not C and not D) or (B and C); end architecture expaft;
Levels of Abstraction for sequential logic circuits VHDL (1) Behavioral approach : state diagram or truth table (2) Data flow approach : Boolean expression or function (3) Structure approach : logic diagram or schematic describing logic function
Digital System Application : 7-Segment LED Driver Seven-Segment LED driver
A  B  C  D ,[object Object],[object Object],[object Object],[object Object],g  = m(2,3,4,5,6,8,9) =A+BC’+B’C+CD’ CD AB
Figure 4-59  Karnaugh map minimization of the segment- a  logic expression.
Figure 4-60  The minimum logic implementation for segment  a  of the 7-segment display.
--  Program  7-segment driver  entity sevensegdrv is port(A, B, C, D: in bit; a,b,c,d,e,f,g: out bit); end entity sevensegdrv; architecture segment of sevensegdrv is begin a<= B or D or (A and C) or (not A and not C); -- B +D+AC+A’C’ • • •  • • •  • • •  g<= A or B and C’ or not B and C or C and not D; -- A+BC’+B’C+CD’ end architecture segment; VHDL for 7-Segment Driver
Summary ,[object Object],[object Object],[object Object],[object Object],[object Object]
The relationship between a single variable X, its complement X  , and the binary constants 0 and 1
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Boolean Expression Truth Table Logic Diagram VHDL (HDL)
End of Ch. 4

More Related Content

What's hot

What's hot (20)

Chapter 3: Simplification of Boolean Function
Chapter 3: Simplification of Boolean FunctionChapter 3: Simplification of Boolean Function
Chapter 3: Simplification of Boolean Function
 
Design half ,full Adder and Subtractor
Design half ,full Adder and SubtractorDesign half ,full Adder and Subtractor
Design half ,full Adder and Subtractor
 
Combinational Circuits & Sequential Circuits
Combinational Circuits & Sequential CircuitsCombinational Circuits & Sequential Circuits
Combinational Circuits & Sequential Circuits
 
Logic gates presentation
Logic gates presentationLogic gates presentation
Logic gates presentation
 
Logic gates
Logic gatesLogic gates
Logic gates
 
Half Adder and Full Adder
Half Adder and Full AdderHalf Adder and Full Adder
Half Adder and Full Adder
 
Encoders
EncodersEncoders
Encoders
 
Presentation On Logic Gate
Presentation On Logic Gate Presentation On Logic Gate
Presentation On Logic Gate
 
13 Boolean Algebra
13 Boolean Algebra13 Boolean Algebra
13 Boolean Algebra
 
boolean algrebra and logic gates in short
boolean algrebra and logic gates in shortboolean algrebra and logic gates in short
boolean algrebra and logic gates in short
 
Logic Gates
Logic GatesLogic Gates
Logic Gates
 
Subtractor (1)
Subtractor (1)Subtractor (1)
Subtractor (1)
 
Combinational circuits
Combinational circuits Combinational circuits
Combinational circuits
 
Ch4 circuitscombinatoires
Ch4 circuitscombinatoiresCh4 circuitscombinatoires
Ch4 circuitscombinatoires
 
Half adder & full adder
Half adder & full adderHalf adder & full adder
Half adder & full adder
 
BOOLEAN ALGEBRA & LOGIC GATE
BOOLEAN ALGEBRA & LOGIC GATEBOOLEAN ALGEBRA & LOGIC GATE
BOOLEAN ALGEBRA & LOGIC GATE
 
Lec 2 digital basics
Lec 2 digital basicsLec 2 digital basics
Lec 2 digital basics
 
Subtractor
SubtractorSubtractor
Subtractor
 
Bcd
BcdBcd
Bcd
 
decoder and encoder
 decoder and encoder decoder and encoder
decoder and encoder
 

Similar to Ch4 Boolean Algebra And Logic Simplication1

Digital logic design lecture 06
Digital logic design   lecture 06 Digital logic design   lecture 06
Digital logic design lecture 06 FarhatUllah27
 
Digital-Logic40124sequential circuits logic gatepptx
Digital-Logic40124sequential circuits logic gatepptxDigital-Logic40124sequential circuits logic gatepptx
Digital-Logic40124sequential circuits logic gatepptxssuser6feece1
 
Introduction-to-Boolean-Algebra.ppt
Introduction-to-Boolean-Algebra.pptIntroduction-to-Boolean-Algebra.ppt
Introduction-to-Boolean-Algebra.pptSanjay446332
 
boolean algebra and logic simplification
boolean algebra and logic simplificationboolean algebra and logic simplification
boolean algebra and logic simplificationUnsa Shakir
 
Unit 2 Boolean Algebra and Logic Gates.pdf
Unit 2 Boolean Algebra and Logic Gates.pdfUnit 2 Boolean Algebra and Logic Gates.pdf
Unit 2 Boolean Algebra and Logic Gates.pdfShirazHusain4
 
IS 151 Lecture 5
IS 151 Lecture 5IS 151 Lecture 5
IS 151 Lecture 5wajanga
 
ELN-133 Chapter 4 Homework Spring 2015 Boolean Algebra .docx
ELN-133 Chapter 4 Homework Spring 2015 Boolean Algebra   .docxELN-133 Chapter 4 Homework Spring 2015 Boolean Algebra   .docx
ELN-133 Chapter 4 Homework Spring 2015 Boolean Algebra .docxjack60216
 
B sc cs i bo-de u-ii logic gates
B sc cs i bo-de u-ii logic gatesB sc cs i bo-de u-ii logic gates
B sc cs i bo-de u-ii logic gatesRai University
 
Digital electronics lesson 2
Digital electronics lesson 2Digital electronics lesson 2
Digital electronics lesson 2Sukriti Dhang
 
Digital logic circuits important question and answers for 5 units
Digital logic circuits important question and answers for 5 unitsDigital logic circuits important question and answers for 5 units
Digital logic circuits important question and answers for 5 unitsLekashri Subramanian
 
PST SC015 Chapter 2 Computer System (III) 2017/2018
PST SC015 Chapter 2 Computer System (III) 2017/2018PST SC015 Chapter 2 Computer System (III) 2017/2018
PST SC015 Chapter 2 Computer System (III) 2017/2018Fizaril Amzari Omar
 
PDT DC015 Chapter 2 Computer System 2017/2018 (f)
PDT DC015 Chapter 2 Computer System 2017/2018 (f)PDT DC015 Chapter 2 Computer System 2017/2018 (f)
PDT DC015 Chapter 2 Computer System 2017/2018 (f)Fizaril Amzari Omar
 
Unit_2_Boolean_algebra_and_Karnaugh_maps.pptx
Unit_2_Boolean_algebra_and_Karnaugh_maps.pptxUnit_2_Boolean_algebra_and_Karnaugh_maps.pptx
Unit_2_Boolean_algebra_and_Karnaugh_maps.pptxKartikkalaspurkar1
 

Similar to Ch4 Boolean Algebra And Logic Simplication1 (20)

Boolean algebra
Boolean algebraBoolean algebra
Boolean algebra
 
07 boolean algebra
07 boolean algebra07 boolean algebra
07 boolean algebra
 
9402730.ppt
9402730.ppt9402730.ppt
9402730.ppt
 
Digital logic design lecture 06
Digital logic design   lecture 06 Digital logic design   lecture 06
Digital logic design lecture 06
 
Digital-Logic40124sequential circuits logic gatepptx
Digital-Logic40124sequential circuits logic gatepptxDigital-Logic40124sequential circuits logic gatepptx
Digital-Logic40124sequential circuits logic gatepptx
 
Introduction-to-Boolean-Algebra.ppt
Introduction-to-Boolean-Algebra.pptIntroduction-to-Boolean-Algebra.ppt
Introduction-to-Boolean-Algebra.ppt
 
Boolean algebra
Boolean algebraBoolean algebra
Boolean algebra
 
Boolean Algebra DLD
Boolean Algebra DLDBoolean Algebra DLD
Boolean Algebra DLD
 
boolean algebra and logic simplification
boolean algebra and logic simplificationboolean algebra and logic simplification
boolean algebra and logic simplification
 
PPT 1.pptx
PPT 1.pptxPPT 1.pptx
PPT 1.pptx
 
Unit 2 Boolean Algebra and Logic Gates.pdf
Unit 2 Boolean Algebra and Logic Gates.pdfUnit 2 Boolean Algebra and Logic Gates.pdf
Unit 2 Boolean Algebra and Logic Gates.pdf
 
IS 151 Lecture 5
IS 151 Lecture 5IS 151 Lecture 5
IS 151 Lecture 5
 
ELN-133 Chapter 4 Homework Spring 2015 Boolean Algebra .docx
ELN-133 Chapter 4 Homework Spring 2015 Boolean Algebra   .docxELN-133 Chapter 4 Homework Spring 2015 Boolean Algebra   .docx
ELN-133 Chapter 4 Homework Spring 2015 Boolean Algebra .docx
 
B sc cs i bo-de u-ii logic gates
B sc cs i bo-de u-ii logic gatesB sc cs i bo-de u-ii logic gates
B sc cs i bo-de u-ii logic gates
 
Digital electronics lesson 2
Digital electronics lesson 2Digital electronics lesson 2
Digital electronics lesson 2
 
Digital logic circuits important question and answers for 5 units
Digital logic circuits important question and answers for 5 unitsDigital logic circuits important question and answers for 5 units
Digital logic circuits important question and answers for 5 units
 
PST SC015 Chapter 2 Computer System (III) 2017/2018
PST SC015 Chapter 2 Computer System (III) 2017/2018PST SC015 Chapter 2 Computer System (III) 2017/2018
PST SC015 Chapter 2 Computer System (III) 2017/2018
 
PDT DC015 Chapter 2 Computer System 2017/2018 (f)
PDT DC015 Chapter 2 Computer System 2017/2018 (f)PDT DC015 Chapter 2 Computer System 2017/2018 (f)
PDT DC015 Chapter 2 Computer System 2017/2018 (f)
 
Unit_2_Boolean_algebra_and_Karnaugh_maps.pptx
Unit_2_Boolean_algebra_and_Karnaugh_maps.pptxUnit_2_Boolean_algebra_and_Karnaugh_maps.pptx
Unit_2_Boolean_algebra_and_Karnaugh_maps.pptx
 
UNIT-1_CSA.pdf
UNIT-1_CSA.pdfUNIT-1_CSA.pdf
UNIT-1_CSA.pdf
 

Recently uploaded

Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embeddingZilliz
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024The Digital Insurer
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 

Recently uploaded (20)

Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embedding
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 

Ch4 Boolean Algebra And Logic Simplication1

  • 1.
  • 2.
  • 3.
  • 4.
  • 5. Basic Functions ( 계속 )
  • 6.
  • 7. Basic Identities of Boolean Algebra The relationship between a single variable X, its complement X  , and the binary constants 0 and 1
  • 8.
  • 9.
  • 10.
  • 11.
  • 12.
  • 13.
  • 14.
  • 15.
  • 16.
  • 17.
  • 18.
  • 19.
  • 20.
  • 21.
  • 22.
  • 23.
  • 24.
  • 25.  
  • 26. Look at (A +B +C + D)’ = A’ • B’ • C’ • D’
  • 27.
  • 28.
  • 29.
  • 30. Truth Table from Logic Circuit A(B+CD)=m11+m12+m13+m14+m15 =  (11,12,13,14,15) Output Input 1 1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 0 0 1 1 1 1 1 0 1 0 0 1 0 1 0 1 0 0 1 0 0 0 0 1 0 1 1 1 0 0 0 1 1 0 0 1 0 1 0 0 0 0 1 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 A(B+CD) D C B A
  • 31.
  • 32.
  • 33.
  • 34.
  • 35.
  • 36.
  • 37.
  • 38.
  • 39.
  • 40.
  • 41.
  • 42. Boolean Expression Truth Table Logic Diagram
  • 43.
  • 44.  
  • 45. Three- and Four-input Kanaugh maps Gray code
  • 46.  
  • 47.  
  • 48. Gray code sequence generation
  • 49. F(X,Y,Z)=  m(0,1,2,6) =(XY  +YZ)  =X’Y’ + YZ’
  • 50.
  • 51. Example) F(X,Y,Z)=  m(0,2,4,6) = X  Z  +XZ  =Z  (X  +X)=Z 
  • 52.
  • 53.  
  • 54.  
  • 55. F(W, X,Y,Z)=  m(0,2,7,8,9,10,11) = WX’ + X’Z’ + W’XYZ
  • 56.
  • 57.
  • 58.
  • 59.
  • 60.
  • 61.
  • 62.
  • 63.
  • 64.
  • 65. Mapping Directly from a Truth Table
  • 66.
  • 67.
  • 68.
  • 69.
  • 70.
  • 71.
  • 72.  
  • 73.
  • 74.
  • 75.
  • 76.
  • 77.
  • 78.  
  • 79.
  • 81. PAL Output Combinational Logic X  0=X X  1=X’
  • 82. A Specific PAL Figure 4-50 Block diagram of the PAL16L8 .
  • 83.
  • 84. Figure 4-52 GAL implementation of a sum-of-products expression.
  • 85.
  • 86.
  • 87.  
  • 88. GAL20V8 High Performance E2CMOS PLD Generic Array Logic™
  • 89.
  • 90. -- Program X=(AC+(BC’)’+D)’+((BC)’)’=(A’+C’)(BC’)D’+BC -- =A’BC’D’+BC’D’+BC=(A’+1)BC’D’+BC = BC’D’+BC entity alogicft is port(B, C, D: in bit; X: out bit); end entity alogicft; architecture expaft of alogicft is begin X<= (B and not C and not D) or (B and C); end architecture expaft;
  • 91. Levels of Abstraction for sequential logic circuits VHDL (1) Behavioral approach : state diagram or truth table (2) Data flow approach : Boolean expression or function (3) Structure approach : logic diagram or schematic describing logic function
  • 92. Digital System Application : 7-Segment LED Driver Seven-Segment LED driver
  • 93.
  • 94. Figure 4-59 Karnaugh map minimization of the segment- a logic expression.
  • 95. Figure 4-60 The minimum logic implementation for segment a of the 7-segment display.
  • 96. -- Program 7-segment driver entity sevensegdrv is port(A, B, C, D: in bit; a,b,c,d,e,f,g: out bit); end entity sevensegdrv; architecture segment of sevensegdrv is begin a<= B or D or (A and C) or (not A and not C); -- B +D+AC+A’C’ • • • • • • • • • g<= A or B and C’ or not B and C or C and not D; -- A+BC’+B’C+CD’ end architecture segment; VHDL for 7-Segment Driver
  • 97.
  • 98. The relationship between a single variable X, its complement X  , and the binary constants 0 and 1
  • 99.
  • 100. Boolean Expression Truth Table Logic Diagram VHDL (HDL)