SlideShare a Scribd company logo
1 of 5
Download to read offline
International Refereed Journal of Engineering and Science (IRJES)
ISSN (Online) 2319-183X, (Print) 2319-1821
Volume 4, Issue 4 (April 2015), PP.36-40
www.irjes.com 36 | Page
Analysis of Power Optimization of Serial Communication
Protocol-Memory–Switch Interface
Er. Sukhwinder Kaur1
, Er Narinder Sharma2
.
1
(ECE,Amritsar College of Engg. & Technology/PTU,INDIA)
2
(Assistant Professor, ECE,Amritsar College of Engg. & Technology/PTU, INDIA)
Abstract:- Power consumption has rapidly risen to an intolerable scale. This results in both high operating costs
and high failure rates so it is now a major cause for concern. It is imposed new challenges to the development of
high performance systems. Dynamic power can contribute up to 50% of the total power dissipation.In this
paper; we first review the basic power management techniques to reduce the dynamic power consumption
techniques like clock gating & frequency scaling. Clock-gating is the most common RTL optimization for
reducing dynamic power. We have been also studied I2
C and SPI are the most commonly used serial protocols
for both inter-chip and intra-chip low/medium bandwidth data-transfers. Both protocols are well suited for
communications between integrated circuits for slow communication with on-board peripherals.
Keywords:- Register Transfer Level (RTL) Inter- Integrated Circuit (I2
C), Serial Peripheral Interface (SPI).
I. INTRODUCTION
Today consumers demands more functionality, speed, energy efficient and power optimized device. A
system consists of a set of components that provide a useful behavior or service. Power dissipated on clock-
lines in a logic chip is approximately 30-50%. Clock signals have been a great source of power dissipation
because of high frequency & load. Clock signals do not perform any computation & mainly used for
synchronization. Hence these signals are not carrying any information. Gated-clock is one of the most important
techniques to reduce power dissipation. By the gated-clock technique, power dissipated on clock lines including
synchronous storage elements such as flip-flops and latches can be saved by shutting off the clock of devices
when there is no function required. To increase the speed, we have studied two communication protocols SPI &
I2
C. Both SPI and I2
C offer good support for communication with low-speed devices, but SPI is better suited to
applications in which devices transfer data streams, whereas I2
C is better at multi-master “register access”
applications.
II. LITERATURE REVIEW
[1] In this paper we studied that the power consumption of AHB SPI-Master is being reduced by using
RTL (Register Transfer Level) clock gating technique. Dynamic power can contribute up to 50% of total power.
The RTL clock gating technique is used for reducing dynamic power consumption. SPI (Serial Peripheral
Interface) is a serial interface which facilitates the synchronous serial data transfer between 2 devices. Dynamic
power consumed by the gated clock design is low as compared to non gated clock design.
Fig.1.1.AHB-Slave SPI-Master interface diagram with gated clock.
Analysis of Power Optimization of Serial Communication Protocol-Memory–Switch Interface
www.irjes.com 37 | Page
[4] this paper shows that I2
C (Inter Integrated Circuit) and SPI (Serial Peripheral Interface) are the most
commonly used serial protocols for both inter-chip and intra-chip low/medium bandwidth data-transfers. This
paper contrasts and compares physical implementation aspects of the two protocols through a number of recent
Xilinx’s FPGA families, showing up which protocol features are responsible of substantial area overhead. The
RTL code is technology independent, inducing around 25% area overhead for I2
C over SPI, and almost the same
delays for both designs. If data must be transferred at “high speed,” SPI is clearly the protocol of choice
overI2
C. SPI is full-duplex; I2
C is not. SPI present throughput in the ×100 Mb/s range, but not in Gb/s.[8] this
paper describes a design methodology for reducing ASIC power consumption through use of the RTL clock
gating feature in Synopsys Power Compiler. This feature causes inactive clocked elements to have clock gating
logic automatically inserted which reduces power consumption on those elements to zero when the values stored
by those elements are not changing. The methodology was proven in a 200K-gate ASIC, which implemented
full scan testing and used RTL clock gating to reduce its power consumption by two-thirds. [9] this paper
describes communication protocols the inter-integrated circuit (I2
C) and the serial peripheral interface (SPI)
protocols. Both protocols are well suited for communications between integrated circuits for slow
communication with on-board peripherals.SPI is a single-master communication protocol. SPI has four signals
Fig1.2. A simple SPI communication
 A slave select signal (SSn) for each slave, used to select the slave the master communicates with
 A data line from the master to the slaves, named Master Out-Slave In (MOSI)
 A data line from the slaves to the master, named Master In-Slave Out (MISO).
 A clock signal (SCLK) sent from the bus master ◗◗ to all slaves; all the SPI signals are synchronous to
this clock signal
I2
C is a multi-master protocol that uses two signal lines. The two I2
C signals are called serial data
(SDA) and serial clock (SCL). There is no need of chip select (slave select). [17] this paper describes Clock
gating is one of the power-saving techniques used to save power, clock gating refers to activating the clocks in a
logic block only when there is work to be done. Every unit on the chip has a power reduction plan, and almost
every Functional Unit Block (FUB) contains clock gating logic. This paper investigates the various clock gating
techniques that can be used to optimise power in VLSI circuits at RTL level. [5] This paper describes clock
gating is an effective technique for minimizing dynamic power in sequential circuits. Applying clock-gating at
gate-level not only saves time compared to implementing clock-gating in the RTL code but also saves power
and can easily be automated in the synthesis process. This paper presents simulation results on various types of
clock-gating at different hierarchical levels on a serial peripheral interface (SPI) design. In general power
savings of about 30% and 36% reduction on toggle rate can be seen with different complex clock- gating
methods with respect to no clock-gating in the design.
Analysis of Power Optimization of Serial Communication Protocol-Memory–Switch Interface
www.irjes.com 38 | Page
III. ANALYSIS USING DIFFERENT TECHNIIQUES AND METHODS
[1] This paper describes the comparison between gated clock design and non- gated clock designs. The
following table shows comparison of Dynamic power, LUTs and registers used by both the designs.
Table1.1. Comparison for 50 MHz clock
Sr.
No.
Features Non-Gated
Clock
Gated clock
1. Dynamic Power (mW) 12.4 4.9
2. Number of LUTS 148 145
3. Number of Registers 35 35
This paper has proven that overall dynamic power is reduced from 12.4 mW to 4.9 mW by the RTL clock
gating.
[4] From this paper we have concluded the practical comparative study of I2
C and SPI protocols on different
FPGA kits. The paper has shown up the results of an up-to-date FPGA implementation of the slave side of the
two standard protocols I2
C /SPI, which are:
 an utilization ratio of 3% and 2% respectively for I2
C Slave and SPI-Slave on the smallest Viertex-5
FPGA device;
 a maximum transfer rate of 75 MBPS for SPI-Slave;
 and an area overhead of 25% for I2C-Slave over SPI Slave

Table 1.2 Comparison of occupied slices
I2
C- Slave SPI -Slave
Xilinx’s FPGA
Device
Number of
Total Slices
Number of
occupied slices
Utilization Number of
occupied
slices
Utilization
xc2s50-6tq144 768*
510 66% 363 47%
xc2s50-5tq144 768*
503 65% 354 46%
xc2v80-6cs144 512*
504 98% 366 71%
xc4vlx15-12sf363 6114*
512 8% 360 5%
xc5vlx30-3ff324 4800*
187 3% 141 2%
Table 1.3 Comparison of delays
I2
C- Slave SPI -Slave
Xilinx’sFPGA
Device
Clock –To- Setup
paths
All Paths Clock –To- Setup
paths
All Paths
xc2s50-6tq144 12.079ns 19.616ns 12.234 ns 17.042 ns
xc2s50-5tq144 7.636 ns 14.996 ns 7.835 ns 12.089 ns
xc2v80-6cs144 6.483 ns 12.806ns 6.604 ns 10.234 ns
xc4vlx15-12sf363 4.863 ns 9.572 ns 5.006 ns 8.880 ns
xc5vlx30-3ff324 3.606 ns 7.998 ns 3.303 ns 6.971 ns
[8] Compares the current consumption of gated clock design and clock design.
Table 1.4 Current consumption analysis of clock gated design
Component Current consumption of
Non-Gated clock
Reduced consumption using
Gated clock
Clock Tree 36mA 0%
RAMs 0mA 100%
Flip-flpos 32mA 81%
Combinational circuitry 10mA 0%
Total 78mA 72%
This paper has proven that by using clock gating dynamic current consumption of a 200K- ASIC
reduced from 280mA to 78mA [9] This paper describes the two communication protocol I2
C and SPI.
According to bus topology/routing I2
C is a winner over SPI. If data must be transferred at “high speed”, SPI is
Analysis of Power Optimization of Serial Communication Protocol-Memory–Switch Interface
www.irjes.com 39 | Page
choice over I2
C because SPI is full -duplex .This paper prove that when there is a need to implement a
communication between an integrated circuit such as microcontroller and a set of relatively slows peripherals,
there is I2
C and SPI perfectly fit the bill.
[17] This paper proves that by using RTL clock gating and SPI design power savings of about 30% and 36%
with different clock gating techniques in VLSI circuits at RTL level.
IV. CONCLUSION
This paper reviewed techniques and two communication protocols for data transmission for power-
efficient system design. Clock gating is the most effective technique to reduce the dynamic power. Both SPI and
I2
C offer good support for communication with low-speed devices, but SPI is better suited to applications in
which devices transfer data streams, whereas I2
C is better at multi-master “register access” applications.
REFERENCES
[1]. A. Godara, S. Chaudhary, “Power Consumption Reduction using RTL Clock Gating in AHB Slave-SPI
Master Architecture”, International Journal of VLSI & Signal Processing Applications, Vol.2, Issue 1
Feb. 2012, pp81-84.
[2]. Ahuja S. et. al, ”System level simulation guided approach to improve the efficacy of clock gating”,
High Level Design Validation And Test Workshop (HLDVT),2010 IEEE International,10-12 June
2010 , Anaheim,pp9-16.
[3]. A.K. Oudjida et. al, ”Design and test of general-purpose SPI Master/Slave IPs on OPB bus”, Systems
Signals and Devices (SSD), 2010 7th International Multi-Conference ,27-30 June 2010, Amman,pp1-6.
[4]. A.K. Oudjida et. al, “FPGA implementation of SPI andI2
C protocols” ISBN No. 978-1-4244-5091-
6/09/ 2009IEEE.
[5]. Bhutada, R. Manoli, Y.,”Complex clock gating with integrated clock gating logic cell”, Design &
Technology of Integrated Systems in Nanoscale Era, 2007. DTIS. International Conference, 2-5 Sept.
2007,Rabat,pp164 – 169.
[6]. C. Sharma, A. Godara, “AHB Interface with SPI by using VERILOG”, International Journal of
Advances in Engineering Research (IJAER) , Vol. No. 2, Issue No. VI, Dec.2011.
[7]. Darold Wobschall, HariSai Prasad, ”Esbus -A Sensor Bus based on the SPI Serial Interface”,0-7803-
7454-1/02© 2002.
[8]. Frank Emnett and Mark Biegel, “Power Reduction through RTL clock gating”, Automotive Integrated
Electronics Corporation, 2000.
[9]. Frederic Leens, “An Introduction to I2C and SPI Protocols”, IEEE Instrumentation & Measurement
Magazine, 1094-6968/09©2009IEEE.
[10]. Macii, E.,“RTL power estimation and optimization”,Integrated Circuits and Systems Design, 2004.
SBCCI 2004. 17th Symposium ,7-11 Sept. 2004,Politecnico di Torino, Italy.
[11]. Manish Kumar Sexana , Ekanash Bhatnaga,” Reconfigurable Architecture for IP Peripherals”, The
International Conference On Signals And Electronic System, September 7-10,2010,Poland,pp347-350.
[12]. M.K Mdarsha et. al, ” Characteristics of Serial Peripheral Interface (SPI) Timing Parameters for
Optical Mouse Sensor”, Year 2006 IEEE.
[13]. Matthew Turner and John Naber,” The Design of a Bi-directional, RFID- based ASIC for Interfacing
with SPI BUS Peripherals”, IEEE 978-1-4244-7773-9/10©2010 pp554-557.
[14]. Papachristou ,Christos A.,“A multiple clocking scheme for low-power RTL design” ,Very Large Scale
Integration (VLSI) Systems, IEEE Transactions, Volume no.7, June 1999, pp266 – 276.
[15]. Paul Myers,” Interfacing using serial protocols: SPI and I2
C”, EMRT Consultants, 2005.
[16]. Qi Wang, Roy. S, ”RTL power optimization with gate –level accuracy”, Computer Aided
Design,2003.ICCAD-2003,International Conference,9-13 Nov,2003,1-58113-762-1,pp39-45.
[17]. Shinde J,S. Alankar, S.S ,“Clock gating - A power optimizing technique for VLSI circuits”, India
Conference (INDICON), 2011 Annual IEEE 16-18, Hyderabad Dec. 2011, pp1 – 4.
[18]. Suliaman, D.R., ”Using clock gating technique for energy reduction in portable computer”, Computer
& Communication Engineering, ICCCE May2008,Kuala Lumpur, International Conference ,13-
15,pp839-842.
[19]. Takeshi Kitahara et. al, “A Clock –Gating Method for Low – Power LSI Design”, Semiconductor DA
& Test Engineering Centre Toshiba Corporation.
[20]. Thalluri, L. et. al, “Design of low power and high performance router using dynamic power reduction
technique”, Devices Circuits and Systems (ICDCS), 2012 International Conference, 15-16 March 2012,
Coimbatore ,pp49 – 53.
[21]. Vyagrheswarudu, N. ; Das, S. ; .Ranjan.A“Power Adviser: An RTL power platform for interactive
sequential optimizations”, Design, Automation & Test in Europe Conference & Exhibition (DATE),
Analysis of Power Optimization of Serial Communication Protocol-Memory–Switch Interface
www.irjes.com 40 | Page
2012: 12-16 Takeshi Kitahara et. al, “A Clock –Gating Method for Low – Power LSI Design”,
Semiconductor DA & Test Engineering Centre Toshiba Corporation.
[22]. www.soccentrAl.com/results.asp?CatID=488&EntryID=28753
[23]. Xin Man,Kimura, S.” Comparison of optimized multi-stage clock gating with structural gating
approach” TENCON 2011 - 2011 IEEE Region 10 Conference, 21-24 Nov. 2011, pp651 – 656.

More Related Content

What's hot

vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015E2MATRIX
 
Digital Implementation of Costas Loop with Carrier Recovery
Digital Implementation of Costas Loop with Carrier RecoveryDigital Implementation of Costas Loop with Carrier Recovery
Digital Implementation of Costas Loop with Carrier RecoveryIJERD Editor
 
Artificial Neural Network Based Closed Loop Control of Multilevel Inverter
Artificial Neural Network Based Closed Loop Control of Multilevel InverterArtificial Neural Network Based Closed Loop Control of Multilevel Inverter
Artificial Neural Network Based Closed Loop Control of Multilevel InverterIJMTST Journal
 
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...IJERA Editor
 
Run-time power management in cloud and containerized environments
Run-time power management in cloud and containerized environmentsRun-time power management in cloud and containerized environments
Run-time power management in cloud and containerized environmentsNECST Lab @ Politecnico di Milano
 
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...IRJET Journal
 
Digital Phase Locked Loop
Digital Phase Locked LoopDigital Phase Locked Loop
Digital Phase Locked LoopJun Steed Huang
 
Ijarcet vol-2-issue-7-2241-2245
Ijarcet vol-2-issue-7-2241-2245Ijarcet vol-2-issue-7-2241-2245
Ijarcet vol-2-issue-7-2241-2245Editor IJARCET
 
Virtual Lab for Electronics
Virtual Lab for ElectronicsVirtual Lab for Electronics
Virtual Lab for ElectronicsIRJET Journal
 
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...IRJET Journal
 
Design of High pass and Low pass Filter using CMOS Operational Trans-conducta...
Design of High pass and Low pass Filter using CMOS Operational Trans-conducta...Design of High pass and Low pass Filter using CMOS Operational Trans-conducta...
Design of High pass and Low pass Filter using CMOS Operational Trans-conducta...IJSRP Journal
 
Challenges in testing iec61850 ver 3
Challenges in testing  iec61850 ver 3Challenges in testing  iec61850 ver 3
Challenges in testing iec61850 ver 3Jay Gosalia
 
Allen Bradley PLC V/S Siemens PLC
Allen Bradley PLC V/S Siemens PLCAllen Bradley PLC V/S Siemens PLC
Allen Bradley PLC V/S Siemens PLCpaperpublications3
 
Iaetsd a design of fpga with ledr encoding and
Iaetsd a design of fpga with ledr encoding andIaetsd a design of fpga with ledr encoding and
Iaetsd a design of fpga with ledr encoding andIaetsd Iaetsd
 
Traffic Light Controller Using Fpga
Traffic Light Controller Using FpgaTraffic Light Controller Using Fpga
Traffic Light Controller Using FpgaIJERA Editor
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...VLSICS Design
 

What's hot (19)

vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015
 
Digital Implementation of Costas Loop with Carrier Recovery
Digital Implementation of Costas Loop with Carrier RecoveryDigital Implementation of Costas Loop with Carrier Recovery
Digital Implementation of Costas Loop with Carrier Recovery
 
Artificial Neural Network Based Closed Loop Control of Multilevel Inverter
Artificial Neural Network Based Closed Loop Control of Multilevel InverterArtificial Neural Network Based Closed Loop Control of Multilevel Inverter
Artificial Neural Network Based Closed Loop Control of Multilevel Inverter
 
J010316164
J010316164J010316164
J010316164
 
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
 
Run-time power management in cloud and containerized environments
Run-time power management in cloud and containerized environmentsRun-time power management in cloud and containerized environments
Run-time power management in cloud and containerized environments
 
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
 
Digital Phase Locked Loop
Digital Phase Locked LoopDigital Phase Locked Loop
Digital Phase Locked Loop
 
Br4201458461
Br4201458461Br4201458461
Br4201458461
 
Ijarcet vol-2-issue-7-2241-2245
Ijarcet vol-2-issue-7-2241-2245Ijarcet vol-2-issue-7-2241-2245
Ijarcet vol-2-issue-7-2241-2245
 
Virtual Lab for Electronics
Virtual Lab for ElectronicsVirtual Lab for Electronics
Virtual Lab for Electronics
 
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
Low Power Area Efficient Arithmetic and Logical Control Unit Using Reversible...
 
Design of High pass and Low pass Filter using CMOS Operational Trans-conducta...
Design of High pass and Low pass Filter using CMOS Operational Trans-conducta...Design of High pass and Low pass Filter using CMOS Operational Trans-conducta...
Design of High pass and Low pass Filter using CMOS Operational Trans-conducta...
 
Challenges in testing iec61850 ver 3
Challenges in testing  iec61850 ver 3Challenges in testing  iec61850 ver 3
Challenges in testing iec61850 ver 3
 
Allen Bradley PLC V/S Siemens PLC
Allen Bradley PLC V/S Siemens PLCAllen Bradley PLC V/S Siemens PLC
Allen Bradley PLC V/S Siemens PLC
 
Iaetsd a design of fpga with ledr encoding and
Iaetsd a design of fpga with ledr encoding andIaetsd a design of fpga with ledr encoding and
Iaetsd a design of fpga with ledr encoding and
 
Traffic Light Controller Using Fpga
Traffic Light Controller Using FpgaTraffic Light Controller Using Fpga
Traffic Light Controller Using Fpga
 
Dx34756759
Dx34756759Dx34756759
Dx34756759
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
 

Viewers also liked

The application of Self-adaptive Fuzzy PID control the evaporator superheat
The application of Self-adaptive Fuzzy PID control the evaporator superheatThe application of Self-adaptive Fuzzy PID control the evaporator superheat
The application of Self-adaptive Fuzzy PID control the evaporator superheatIJRES Journal
 
Scalable Face Restitution Via Attribute-Enhanced Sparse Code words
Scalable Face Restitution Via Attribute-Enhanced Sparse Code wordsScalable Face Restitution Via Attribute-Enhanced Sparse Code words
Scalable Face Restitution Via Attribute-Enhanced Sparse Code wordsIJRES Journal
 
Surface Quality Improvement Using Modified Tool Clamping In Boring Operations
Surface Quality Improvement Using Modified Tool Clamping In Boring OperationsSurface Quality Improvement Using Modified Tool Clamping In Boring Operations
Surface Quality Improvement Using Modified Tool Clamping In Boring OperationsIJRES Journal
 
Applications of Homotopy perturbation Method and Sumudu Transform for Solving...
Applications of Homotopy perturbation Method and Sumudu Transform for Solving...Applications of Homotopy perturbation Method and Sumudu Transform for Solving...
Applications of Homotopy perturbation Method and Sumudu Transform for Solving...IJRES Journal
 
An Unobservable Secure On-Demand Routing With D-Worm Detection In MANET
An Unobservable Secure On-Demand Routing With D-Worm Detection In MANETAn Unobservable Secure On-Demand Routing With D-Worm Detection In MANET
An Unobservable Secure On-Demand Routing With D-Worm Detection In MANETIJRES Journal
 
Control of Nonlinear Industrial Processes Using Fuzzy Wavelet Neural Network ...
Control of Nonlinear Industrial Processes Using Fuzzy Wavelet Neural Network ...Control of Nonlinear Industrial Processes Using Fuzzy Wavelet Neural Network ...
Control of Nonlinear Industrial Processes Using Fuzzy Wavelet Neural Network ...IJRES Journal
 
Prime Ring With 3n1 d Contained In The Nucleus.
Prime Ring With 3n1 d Contained In The Nucleus.Prime Ring With 3n1 d Contained In The Nucleus.
Prime Ring With 3n1 d Contained In The Nucleus.IJRES Journal
 
Noise Control Stratagies in Reinforced Concrete Buildings
Noise Control Stratagies in Reinforced Concrete BuildingsNoise Control Stratagies in Reinforced Concrete Buildings
Noise Control Stratagies in Reinforced Concrete BuildingsIJRES Journal
 
Effect of Magnesium on Fluoride Removal
Effect of Magnesium on Fluoride RemovalEffect of Magnesium on Fluoride Removal
Effect of Magnesium on Fluoride RemovalIJRES Journal
 
Enhancing Survivability, Lifetime, and Energy Efficiency of Wireless Networks
Enhancing Survivability, Lifetime, and Energy Efficiency of Wireless NetworksEnhancing Survivability, Lifetime, and Energy Efficiency of Wireless Networks
Enhancing Survivability, Lifetime, and Energy Efficiency of Wireless NetworksIJRES Journal
 
An Enhanced & Effective Fall Detection System for Elderly Person Monitoring u...
An Enhanced & Effective Fall Detection System for Elderly Person Monitoring u...An Enhanced & Effective Fall Detection System for Elderly Person Monitoring u...
An Enhanced & Effective Fall Detection System for Elderly Person Monitoring u...IJRES Journal
 
Improving Fracture Toughness of Mullite Ceramics with Metal Reinforcements
Improving Fracture Toughness of Mullite Ceramics with Metal ReinforcementsImproving Fracture Toughness of Mullite Ceramics with Metal Reinforcements
Improving Fracture Toughness of Mullite Ceramics with Metal ReinforcementsIJRES Journal
 
Sequence Entropy and the Complexity Sequence Entropy For 𝒁𝒏Action
Sequence Entropy and the Complexity Sequence Entropy For 𝒁𝒏ActionSequence Entropy and the Complexity Sequence Entropy For 𝒁𝒏Action
Sequence Entropy and the Complexity Sequence Entropy For 𝒁𝒏ActionIJRES Journal
 
Design and Fabrication of Solar Electric Scooter
Design and Fabrication of Solar Electric ScooterDesign and Fabrication of Solar Electric Scooter
Design and Fabrication of Solar Electric ScooterIJRES Journal
 
An Infrastructure Based on a Mobile-Agent for Applications of Ebussiness & Ework
An Infrastructure Based on a Mobile-Agent for Applications of Ebussiness & EworkAn Infrastructure Based on a Mobile-Agent for Applications of Ebussiness & Ework
An Infrastructure Based on a Mobile-Agent for Applications of Ebussiness & EworkIJRES Journal
 
Assessment of the Effect of Plan Shapes on Cost of Institutional Buildings in...
Assessment of the Effect of Plan Shapes on Cost of Institutional Buildings in...Assessment of the Effect of Plan Shapes on Cost of Institutional Buildings in...
Assessment of the Effect of Plan Shapes on Cost of Institutional Buildings in...IJRES Journal
 
A Steganography LSB technique for hiding Image within Image Using blowfish En...
A Steganography LSB technique for hiding Image within Image Using blowfish En...A Steganography LSB technique for hiding Image within Image Using blowfish En...
A Steganography LSB technique for hiding Image within Image Using blowfish En...IJRES Journal
 
A Numerical Integration Scheme For The Dynamic Motion Of Rigid Bodies Using T...
A Numerical Integration Scheme For The Dynamic Motion Of Rigid Bodies Using T...A Numerical Integration Scheme For The Dynamic Motion Of Rigid Bodies Using T...
A Numerical Integration Scheme For The Dynamic Motion Of Rigid Bodies Using T...IJRES Journal
 
Sensor Stick walking aid for the blinds
Sensor Stick walking aid for the blindsSensor Stick walking aid for the blinds
Sensor Stick walking aid for the blindsIJRES Journal
 

Viewers also liked (19)

The application of Self-adaptive Fuzzy PID control the evaporator superheat
The application of Self-adaptive Fuzzy PID control the evaporator superheatThe application of Self-adaptive Fuzzy PID control the evaporator superheat
The application of Self-adaptive Fuzzy PID control the evaporator superheat
 
Scalable Face Restitution Via Attribute-Enhanced Sparse Code words
Scalable Face Restitution Via Attribute-Enhanced Sparse Code wordsScalable Face Restitution Via Attribute-Enhanced Sparse Code words
Scalable Face Restitution Via Attribute-Enhanced Sparse Code words
 
Surface Quality Improvement Using Modified Tool Clamping In Boring Operations
Surface Quality Improvement Using Modified Tool Clamping In Boring OperationsSurface Quality Improvement Using Modified Tool Clamping In Boring Operations
Surface Quality Improvement Using Modified Tool Clamping In Boring Operations
 
Applications of Homotopy perturbation Method and Sumudu Transform for Solving...
Applications of Homotopy perturbation Method and Sumudu Transform for Solving...Applications of Homotopy perturbation Method and Sumudu Transform for Solving...
Applications of Homotopy perturbation Method and Sumudu Transform for Solving...
 
An Unobservable Secure On-Demand Routing With D-Worm Detection In MANET
An Unobservable Secure On-Demand Routing With D-Worm Detection In MANETAn Unobservable Secure On-Demand Routing With D-Worm Detection In MANET
An Unobservable Secure On-Demand Routing With D-Worm Detection In MANET
 
Control of Nonlinear Industrial Processes Using Fuzzy Wavelet Neural Network ...
Control of Nonlinear Industrial Processes Using Fuzzy Wavelet Neural Network ...Control of Nonlinear Industrial Processes Using Fuzzy Wavelet Neural Network ...
Control of Nonlinear Industrial Processes Using Fuzzy Wavelet Neural Network ...
 
Prime Ring With 3n1 d Contained In The Nucleus.
Prime Ring With 3n1 d Contained In The Nucleus.Prime Ring With 3n1 d Contained In The Nucleus.
Prime Ring With 3n1 d Contained In The Nucleus.
 
Noise Control Stratagies in Reinforced Concrete Buildings
Noise Control Stratagies in Reinforced Concrete BuildingsNoise Control Stratagies in Reinforced Concrete Buildings
Noise Control Stratagies in Reinforced Concrete Buildings
 
Effect of Magnesium on Fluoride Removal
Effect of Magnesium on Fluoride RemovalEffect of Magnesium on Fluoride Removal
Effect of Magnesium on Fluoride Removal
 
Enhancing Survivability, Lifetime, and Energy Efficiency of Wireless Networks
Enhancing Survivability, Lifetime, and Energy Efficiency of Wireless NetworksEnhancing Survivability, Lifetime, and Energy Efficiency of Wireless Networks
Enhancing Survivability, Lifetime, and Energy Efficiency of Wireless Networks
 
An Enhanced & Effective Fall Detection System for Elderly Person Monitoring u...
An Enhanced & Effective Fall Detection System for Elderly Person Monitoring u...An Enhanced & Effective Fall Detection System for Elderly Person Monitoring u...
An Enhanced & Effective Fall Detection System for Elderly Person Monitoring u...
 
Improving Fracture Toughness of Mullite Ceramics with Metal Reinforcements
Improving Fracture Toughness of Mullite Ceramics with Metal ReinforcementsImproving Fracture Toughness of Mullite Ceramics with Metal Reinforcements
Improving Fracture Toughness of Mullite Ceramics with Metal Reinforcements
 
Sequence Entropy and the Complexity Sequence Entropy For 𝒁𝒏Action
Sequence Entropy and the Complexity Sequence Entropy For 𝒁𝒏ActionSequence Entropy and the Complexity Sequence Entropy For 𝒁𝒏Action
Sequence Entropy and the Complexity Sequence Entropy For 𝒁𝒏Action
 
Design and Fabrication of Solar Electric Scooter
Design and Fabrication of Solar Electric ScooterDesign and Fabrication of Solar Electric Scooter
Design and Fabrication of Solar Electric Scooter
 
An Infrastructure Based on a Mobile-Agent for Applications of Ebussiness & Ework
An Infrastructure Based on a Mobile-Agent for Applications of Ebussiness & EworkAn Infrastructure Based on a Mobile-Agent for Applications of Ebussiness & Ework
An Infrastructure Based on a Mobile-Agent for Applications of Ebussiness & Ework
 
Assessment of the Effect of Plan Shapes on Cost of Institutional Buildings in...
Assessment of the Effect of Plan Shapes on Cost of Institutional Buildings in...Assessment of the Effect of Plan Shapes on Cost of Institutional Buildings in...
Assessment of the Effect of Plan Shapes on Cost of Institutional Buildings in...
 
A Steganography LSB technique for hiding Image within Image Using blowfish En...
A Steganography LSB technique for hiding Image within Image Using blowfish En...A Steganography LSB technique for hiding Image within Image Using blowfish En...
A Steganography LSB technique for hiding Image within Image Using blowfish En...
 
A Numerical Integration Scheme For The Dynamic Motion Of Rigid Bodies Using T...
A Numerical Integration Scheme For The Dynamic Motion Of Rigid Bodies Using T...A Numerical Integration Scheme For The Dynamic Motion Of Rigid Bodies Using T...
A Numerical Integration Scheme For The Dynamic Motion Of Rigid Bodies Using T...
 
Sensor Stick walking aid for the blinds
Sensor Stick walking aid for the blindsSensor Stick walking aid for the blinds
Sensor Stick walking aid for the blinds
 

Similar to Analysis of Power Optimization of Serial Communication Protocol-Memory–Switch Interface

AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORKAN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORKcsijjournal
 
IRJET- Investigation on Delay and Power Minimization in IEEE 802.15.4 Protoco...
IRJET- Investigation on Delay and Power Minimization in IEEE 802.15.4 Protoco...IRJET- Investigation on Delay and Power Minimization in IEEE 802.15.4 Protoco...
IRJET- Investigation on Delay and Power Minimization in IEEE 802.15.4 Protoco...IRJET Journal
 
Adiabatic technique based low power synchronous counter design
Adiabatic technique based low power synchronous counter  designAdiabatic technique based low power synchronous counter  design
Adiabatic technique based low power synchronous counter designIJECEIAES
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)inventionjournals
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Designijsrd.com
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET Journal
 
IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...
IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...
IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...IRJET Journal
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...IAEME Publication
 
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIPA NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIPEditor IJMTER
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...ijsrd.com
 
Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingIRJET Journal
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterIJMTST Journal
 
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET Journal
 
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible GateIRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible GateIRJET Journal
 
Design of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDLDesign of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDLIJMER
 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...IJSRED
 
IRJET- Power Line Carrier Communication
IRJET- Power Line Carrier CommunicationIRJET- Power Line Carrier Communication
IRJET- Power Line Carrier CommunicationIRJET Journal
 
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGAA LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGAIRJET Journal
 
Design and Performance Analysis of 8 x 8 Network on Chip Router
Design and Performance Analysis of 8 x 8 Network on Chip RouterDesign and Performance Analysis of 8 x 8 Network on Chip Router
Design and Performance Analysis of 8 x 8 Network on Chip RouterIRJET Journal
 

Similar to Analysis of Power Optimization of Serial Communication Protocol-Memory–Switch Interface (20)

AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORKAN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
 
IRJET- Investigation on Delay and Power Minimization in IEEE 802.15.4 Protoco...
IRJET- Investigation on Delay and Power Minimization in IEEE 802.15.4 Protoco...IRJET- Investigation on Delay and Power Minimization in IEEE 802.15.4 Protoco...
IRJET- Investigation on Delay and Power Minimization in IEEE 802.15.4 Protoco...
 
Using sigma-delta quantizer Based PI for inductive power transfer systems
Using sigma-delta quantizer Based PI for inductive power transfer systemsUsing sigma-delta quantizer Based PI for inductive power transfer systems
Using sigma-delta quantizer Based PI for inductive power transfer systems
 
Adiabatic technique based low power synchronous counter design
Adiabatic technique based low power synchronous counter  designAdiabatic technique based low power synchronous counter  design
Adiabatic technique based low power synchronous counter design
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
 
IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...
IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...
IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
 
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIPA NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
 
Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gating
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
 
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
 
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible GateIRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
 
Design of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDLDesign of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDL
 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...
 
IRJET- Power Line Carrier Communication
IRJET- Power Line Carrier CommunicationIRJET- Power Line Carrier Communication
IRJET- Power Line Carrier Communication
 
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGAA LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
 
Design and Performance Analysis of 8 x 8 Network on Chip Router
Design and Performance Analysis of 8 x 8 Network on Chip RouterDesign and Performance Analysis of 8 x 8 Network on Chip Router
Design and Performance Analysis of 8 x 8 Network on Chip Router
 

More from IJRES Journal

Exploratory study on the use of crushed cockle shell as partial sand replacem...
Exploratory study on the use of crushed cockle shell as partial sand replacem...Exploratory study on the use of crushed cockle shell as partial sand replacem...
Exploratory study on the use of crushed cockle shell as partial sand replacem...IJRES Journal
 
Congenital Malaria: Correlation of Umbilical Cord Plasmodium falciparum Paras...
Congenital Malaria: Correlation of Umbilical Cord Plasmodium falciparum Paras...Congenital Malaria: Correlation of Umbilical Cord Plasmodium falciparum Paras...
Congenital Malaria: Correlation of Umbilical Cord Plasmodium falciparum Paras...IJRES Journal
 
Review: Nonlinear Techniques for Analysis of Heart Rate Variability
Review: Nonlinear Techniques for Analysis of Heart Rate VariabilityReview: Nonlinear Techniques for Analysis of Heart Rate Variability
Review: Nonlinear Techniques for Analysis of Heart Rate VariabilityIJRES Journal
 
Dynamic Modeling for Gas Phase Propylene Copolymerization in a Fluidized Bed ...
Dynamic Modeling for Gas Phase Propylene Copolymerization in a Fluidized Bed ...Dynamic Modeling for Gas Phase Propylene Copolymerization in a Fluidized Bed ...
Dynamic Modeling for Gas Phase Propylene Copolymerization in a Fluidized Bed ...IJRES Journal
 
Study and evaluation for different types of Sudanese crude oil properties
Study and evaluation for different types of Sudanese crude oil propertiesStudy and evaluation for different types of Sudanese crude oil properties
Study and evaluation for different types of Sudanese crude oil propertiesIJRES Journal
 
A Short Report on Different Wavelets and Their Structures
A Short Report on Different Wavelets and Their StructuresA Short Report on Different Wavelets and Their Structures
A Short Report on Different Wavelets and Their StructuresIJRES Journal
 
A Case Study on Academic Services Application Using Agile Methodology for Mob...
A Case Study on Academic Services Application Using Agile Methodology for Mob...A Case Study on Academic Services Application Using Agile Methodology for Mob...
A Case Study on Academic Services Application Using Agile Methodology for Mob...IJRES Journal
 
Wear Analysis on Cylindrical Cam with Flexible Rod
Wear Analysis on Cylindrical Cam with Flexible RodWear Analysis on Cylindrical Cam with Flexible Rod
Wear Analysis on Cylindrical Cam with Flexible RodIJRES Journal
 
DDOS Attacks-A Stealthy Way of Implementation and Detection
DDOS Attacks-A Stealthy Way of Implementation and DetectionDDOS Attacks-A Stealthy Way of Implementation and Detection
DDOS Attacks-A Stealthy Way of Implementation and DetectionIJRES Journal
 
An improved fading Kalman filter in the application of BDS dynamic positioning
An improved fading Kalman filter in the application of BDS dynamic positioningAn improved fading Kalman filter in the application of BDS dynamic positioning
An improved fading Kalman filter in the application of BDS dynamic positioningIJRES Journal
 
Positioning Error Analysis and Compensation of Differential Precision Workbench
Positioning Error Analysis and Compensation of Differential Precision WorkbenchPositioning Error Analysis and Compensation of Differential Precision Workbench
Positioning Error Analysis and Compensation of Differential Precision WorkbenchIJRES Journal
 
Status of Heavy metal pollution in Mithi river: Then and Now
Status of Heavy metal pollution in Mithi river: Then and NowStatus of Heavy metal pollution in Mithi river: Then and Now
Status of Heavy metal pollution in Mithi river: Then and NowIJRES Journal
 
The Low-Temperature Radiant Floor Heating System Design and Experimental Stud...
The Low-Temperature Radiant Floor Heating System Design and Experimental Stud...The Low-Temperature Radiant Floor Heating System Design and Experimental Stud...
The Low-Temperature Radiant Floor Heating System Design and Experimental Stud...IJRES Journal
 
Experimental study on critical closing pressure of mudstone fractured reservoirs
Experimental study on critical closing pressure of mudstone fractured reservoirsExperimental study on critical closing pressure of mudstone fractured reservoirs
Experimental study on critical closing pressure of mudstone fractured reservoirsIJRES Journal
 
Correlation Analysis of Tool Wear and Cutting Sound Signal
Correlation Analysis of Tool Wear and Cutting Sound SignalCorrelation Analysis of Tool Wear and Cutting Sound Signal
Correlation Analysis of Tool Wear and Cutting Sound SignalIJRES Journal
 
Reduce Resources for Privacy in Mobile Cloud Computing Using Blowfish and DSA...
Reduce Resources for Privacy in Mobile Cloud Computing Using Blowfish and DSA...Reduce Resources for Privacy in Mobile Cloud Computing Using Blowfish and DSA...
Reduce Resources for Privacy in Mobile Cloud Computing Using Blowfish and DSA...IJRES Journal
 
Resistance of Dryland Rice to Stem Borer (Scirpophaga incertulas Wlk.) Using ...
Resistance of Dryland Rice to Stem Borer (Scirpophaga incertulas Wlk.) Using ...Resistance of Dryland Rice to Stem Borer (Scirpophaga incertulas Wlk.) Using ...
Resistance of Dryland Rice to Stem Borer (Scirpophaga incertulas Wlk.) Using ...IJRES Journal
 
A novel high-precision curvature-compensated CMOS bandgap reference without u...
A novel high-precision curvature-compensated CMOS bandgap reference without u...A novel high-precision curvature-compensated CMOS bandgap reference without u...
A novel high-precision curvature-compensated CMOS bandgap reference without u...IJRES Journal
 
Structural aspect on carbon dioxide capture in nanotubes
Structural aspect on carbon dioxide capture in nanotubesStructural aspect on carbon dioxide capture in nanotubes
Structural aspect on carbon dioxide capture in nanotubesIJRES Journal
 
Thesummaryabout fuzzy control parameters selected based on brake driver inten...
Thesummaryabout fuzzy control parameters selected based on brake driver inten...Thesummaryabout fuzzy control parameters selected based on brake driver inten...
Thesummaryabout fuzzy control parameters selected based on brake driver inten...IJRES Journal
 

More from IJRES Journal (20)

Exploratory study on the use of crushed cockle shell as partial sand replacem...
Exploratory study on the use of crushed cockle shell as partial sand replacem...Exploratory study on the use of crushed cockle shell as partial sand replacem...
Exploratory study on the use of crushed cockle shell as partial sand replacem...
 
Congenital Malaria: Correlation of Umbilical Cord Plasmodium falciparum Paras...
Congenital Malaria: Correlation of Umbilical Cord Plasmodium falciparum Paras...Congenital Malaria: Correlation of Umbilical Cord Plasmodium falciparum Paras...
Congenital Malaria: Correlation of Umbilical Cord Plasmodium falciparum Paras...
 
Review: Nonlinear Techniques for Analysis of Heart Rate Variability
Review: Nonlinear Techniques for Analysis of Heart Rate VariabilityReview: Nonlinear Techniques for Analysis of Heart Rate Variability
Review: Nonlinear Techniques for Analysis of Heart Rate Variability
 
Dynamic Modeling for Gas Phase Propylene Copolymerization in a Fluidized Bed ...
Dynamic Modeling for Gas Phase Propylene Copolymerization in a Fluidized Bed ...Dynamic Modeling for Gas Phase Propylene Copolymerization in a Fluidized Bed ...
Dynamic Modeling for Gas Phase Propylene Copolymerization in a Fluidized Bed ...
 
Study and evaluation for different types of Sudanese crude oil properties
Study and evaluation for different types of Sudanese crude oil propertiesStudy and evaluation for different types of Sudanese crude oil properties
Study and evaluation for different types of Sudanese crude oil properties
 
A Short Report on Different Wavelets and Their Structures
A Short Report on Different Wavelets and Their StructuresA Short Report on Different Wavelets and Their Structures
A Short Report on Different Wavelets and Their Structures
 
A Case Study on Academic Services Application Using Agile Methodology for Mob...
A Case Study on Academic Services Application Using Agile Methodology for Mob...A Case Study on Academic Services Application Using Agile Methodology for Mob...
A Case Study on Academic Services Application Using Agile Methodology for Mob...
 
Wear Analysis on Cylindrical Cam with Flexible Rod
Wear Analysis on Cylindrical Cam with Flexible RodWear Analysis on Cylindrical Cam with Flexible Rod
Wear Analysis on Cylindrical Cam with Flexible Rod
 
DDOS Attacks-A Stealthy Way of Implementation and Detection
DDOS Attacks-A Stealthy Way of Implementation and DetectionDDOS Attacks-A Stealthy Way of Implementation and Detection
DDOS Attacks-A Stealthy Way of Implementation and Detection
 
An improved fading Kalman filter in the application of BDS dynamic positioning
An improved fading Kalman filter in the application of BDS dynamic positioningAn improved fading Kalman filter in the application of BDS dynamic positioning
An improved fading Kalman filter in the application of BDS dynamic positioning
 
Positioning Error Analysis and Compensation of Differential Precision Workbench
Positioning Error Analysis and Compensation of Differential Precision WorkbenchPositioning Error Analysis and Compensation of Differential Precision Workbench
Positioning Error Analysis and Compensation of Differential Precision Workbench
 
Status of Heavy metal pollution in Mithi river: Then and Now
Status of Heavy metal pollution in Mithi river: Then and NowStatus of Heavy metal pollution in Mithi river: Then and Now
Status of Heavy metal pollution in Mithi river: Then and Now
 
The Low-Temperature Radiant Floor Heating System Design and Experimental Stud...
The Low-Temperature Radiant Floor Heating System Design and Experimental Stud...The Low-Temperature Radiant Floor Heating System Design and Experimental Stud...
The Low-Temperature Radiant Floor Heating System Design and Experimental Stud...
 
Experimental study on critical closing pressure of mudstone fractured reservoirs
Experimental study on critical closing pressure of mudstone fractured reservoirsExperimental study on critical closing pressure of mudstone fractured reservoirs
Experimental study on critical closing pressure of mudstone fractured reservoirs
 
Correlation Analysis of Tool Wear and Cutting Sound Signal
Correlation Analysis of Tool Wear and Cutting Sound SignalCorrelation Analysis of Tool Wear and Cutting Sound Signal
Correlation Analysis of Tool Wear and Cutting Sound Signal
 
Reduce Resources for Privacy in Mobile Cloud Computing Using Blowfish and DSA...
Reduce Resources for Privacy in Mobile Cloud Computing Using Blowfish and DSA...Reduce Resources for Privacy in Mobile Cloud Computing Using Blowfish and DSA...
Reduce Resources for Privacy in Mobile Cloud Computing Using Blowfish and DSA...
 
Resistance of Dryland Rice to Stem Borer (Scirpophaga incertulas Wlk.) Using ...
Resistance of Dryland Rice to Stem Borer (Scirpophaga incertulas Wlk.) Using ...Resistance of Dryland Rice to Stem Borer (Scirpophaga incertulas Wlk.) Using ...
Resistance of Dryland Rice to Stem Borer (Scirpophaga incertulas Wlk.) Using ...
 
A novel high-precision curvature-compensated CMOS bandgap reference without u...
A novel high-precision curvature-compensated CMOS bandgap reference without u...A novel high-precision curvature-compensated CMOS bandgap reference without u...
A novel high-precision curvature-compensated CMOS bandgap reference without u...
 
Structural aspect on carbon dioxide capture in nanotubes
Structural aspect on carbon dioxide capture in nanotubesStructural aspect on carbon dioxide capture in nanotubes
Structural aspect on carbon dioxide capture in nanotubes
 
Thesummaryabout fuzzy control parameters selected based on brake driver inten...
Thesummaryabout fuzzy control parameters selected based on brake driver inten...Thesummaryabout fuzzy control parameters selected based on brake driver inten...
Thesummaryabout fuzzy control parameters selected based on brake driver inten...
 

Recently uploaded

TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc
 
Manulife - Insurer Transformation Award 2024
Manulife - Insurer Transformation Award 2024Manulife - Insurer Transformation Award 2024
Manulife - Insurer Transformation Award 2024The Digital Insurer
 
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...apidays
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWERMadyBayot
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfOrbitshub
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAndrey Devyatkin
 
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...Angeliki Cooney
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerThousandEyes
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsNanddeep Nachan
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...Martijn de Jong
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...apidays
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingEdi Saputra
 
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodPolkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodJuan lago vázquez
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businesspanagenda
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobeapidays
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfsudhanshuwaghmare1
 
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 AmsterdamDEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 AmsterdamUiPathCommunity
 
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...Zilliz
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024The Digital Insurer
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processorsdebabhi2
 

Recently uploaded (20)

TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
Manulife - Insurer Transformation Award 2024
Manulife - Insurer Transformation Award 2024Manulife - Insurer Transformation Award 2024
Manulife - Insurer Transformation Award 2024
 
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of Terraform
 
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectors
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
 
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodPolkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 AmsterdamDEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
 
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 

Analysis of Power Optimization of Serial Communication Protocol-Memory–Switch Interface

  • 1. International Refereed Journal of Engineering and Science (IRJES) ISSN (Online) 2319-183X, (Print) 2319-1821 Volume 4, Issue 4 (April 2015), PP.36-40 www.irjes.com 36 | Page Analysis of Power Optimization of Serial Communication Protocol-Memory–Switch Interface Er. Sukhwinder Kaur1 , Er Narinder Sharma2 . 1 (ECE,Amritsar College of Engg. & Technology/PTU,INDIA) 2 (Assistant Professor, ECE,Amritsar College of Engg. & Technology/PTU, INDIA) Abstract:- Power consumption has rapidly risen to an intolerable scale. This results in both high operating costs and high failure rates so it is now a major cause for concern. It is imposed new challenges to the development of high performance systems. Dynamic power can contribute up to 50% of the total power dissipation.In this paper; we first review the basic power management techniques to reduce the dynamic power consumption techniques like clock gating & frequency scaling. Clock-gating is the most common RTL optimization for reducing dynamic power. We have been also studied I2 C and SPI are the most commonly used serial protocols for both inter-chip and intra-chip low/medium bandwidth data-transfers. Both protocols are well suited for communications between integrated circuits for slow communication with on-board peripherals. Keywords:- Register Transfer Level (RTL) Inter- Integrated Circuit (I2 C), Serial Peripheral Interface (SPI). I. INTRODUCTION Today consumers demands more functionality, speed, energy efficient and power optimized device. A system consists of a set of components that provide a useful behavior or service. Power dissipated on clock- lines in a logic chip is approximately 30-50%. Clock signals have been a great source of power dissipation because of high frequency & load. Clock signals do not perform any computation & mainly used for synchronization. Hence these signals are not carrying any information. Gated-clock is one of the most important techniques to reduce power dissipation. By the gated-clock technique, power dissipated on clock lines including synchronous storage elements such as flip-flops and latches can be saved by shutting off the clock of devices when there is no function required. To increase the speed, we have studied two communication protocols SPI & I2 C. Both SPI and I2 C offer good support for communication with low-speed devices, but SPI is better suited to applications in which devices transfer data streams, whereas I2 C is better at multi-master “register access” applications. II. LITERATURE REVIEW [1] In this paper we studied that the power consumption of AHB SPI-Master is being reduced by using RTL (Register Transfer Level) clock gating technique. Dynamic power can contribute up to 50% of total power. The RTL clock gating technique is used for reducing dynamic power consumption. SPI (Serial Peripheral Interface) is a serial interface which facilitates the synchronous serial data transfer between 2 devices. Dynamic power consumed by the gated clock design is low as compared to non gated clock design. Fig.1.1.AHB-Slave SPI-Master interface diagram with gated clock.
  • 2. Analysis of Power Optimization of Serial Communication Protocol-Memory–Switch Interface www.irjes.com 37 | Page [4] this paper shows that I2 C (Inter Integrated Circuit) and SPI (Serial Peripheral Interface) are the most commonly used serial protocols for both inter-chip and intra-chip low/medium bandwidth data-transfers. This paper contrasts and compares physical implementation aspects of the two protocols through a number of recent Xilinx’s FPGA families, showing up which protocol features are responsible of substantial area overhead. The RTL code is technology independent, inducing around 25% area overhead for I2 C over SPI, and almost the same delays for both designs. If data must be transferred at “high speed,” SPI is clearly the protocol of choice overI2 C. SPI is full-duplex; I2 C is not. SPI present throughput in the ×100 Mb/s range, but not in Gb/s.[8] this paper describes a design methodology for reducing ASIC power consumption through use of the RTL clock gating feature in Synopsys Power Compiler. This feature causes inactive clocked elements to have clock gating logic automatically inserted which reduces power consumption on those elements to zero when the values stored by those elements are not changing. The methodology was proven in a 200K-gate ASIC, which implemented full scan testing and used RTL clock gating to reduce its power consumption by two-thirds. [9] this paper describes communication protocols the inter-integrated circuit (I2 C) and the serial peripheral interface (SPI) protocols. Both protocols are well suited for communications between integrated circuits for slow communication with on-board peripherals.SPI is a single-master communication protocol. SPI has four signals Fig1.2. A simple SPI communication  A slave select signal (SSn) for each slave, used to select the slave the master communicates with  A data line from the master to the slaves, named Master Out-Slave In (MOSI)  A data line from the slaves to the master, named Master In-Slave Out (MISO).  A clock signal (SCLK) sent from the bus master ◗◗ to all slaves; all the SPI signals are synchronous to this clock signal I2 C is a multi-master protocol that uses two signal lines. The two I2 C signals are called serial data (SDA) and serial clock (SCL). There is no need of chip select (slave select). [17] this paper describes Clock gating is one of the power-saving techniques used to save power, clock gating refers to activating the clocks in a logic block only when there is work to be done. Every unit on the chip has a power reduction plan, and almost every Functional Unit Block (FUB) contains clock gating logic. This paper investigates the various clock gating techniques that can be used to optimise power in VLSI circuits at RTL level. [5] This paper describes clock gating is an effective technique for minimizing dynamic power in sequential circuits. Applying clock-gating at gate-level not only saves time compared to implementing clock-gating in the RTL code but also saves power and can easily be automated in the synthesis process. This paper presents simulation results on various types of clock-gating at different hierarchical levels on a serial peripheral interface (SPI) design. In general power savings of about 30% and 36% reduction on toggle rate can be seen with different complex clock- gating methods with respect to no clock-gating in the design.
  • 3. Analysis of Power Optimization of Serial Communication Protocol-Memory–Switch Interface www.irjes.com 38 | Page III. ANALYSIS USING DIFFERENT TECHNIIQUES AND METHODS [1] This paper describes the comparison between gated clock design and non- gated clock designs. The following table shows comparison of Dynamic power, LUTs and registers used by both the designs. Table1.1. Comparison for 50 MHz clock Sr. No. Features Non-Gated Clock Gated clock 1. Dynamic Power (mW) 12.4 4.9 2. Number of LUTS 148 145 3. Number of Registers 35 35 This paper has proven that overall dynamic power is reduced from 12.4 mW to 4.9 mW by the RTL clock gating. [4] From this paper we have concluded the practical comparative study of I2 C and SPI protocols on different FPGA kits. The paper has shown up the results of an up-to-date FPGA implementation of the slave side of the two standard protocols I2 C /SPI, which are:  an utilization ratio of 3% and 2% respectively for I2 C Slave and SPI-Slave on the smallest Viertex-5 FPGA device;  a maximum transfer rate of 75 MBPS for SPI-Slave;  and an area overhead of 25% for I2C-Slave over SPI Slave  Table 1.2 Comparison of occupied slices I2 C- Slave SPI -Slave Xilinx’s FPGA Device Number of Total Slices Number of occupied slices Utilization Number of occupied slices Utilization xc2s50-6tq144 768* 510 66% 363 47% xc2s50-5tq144 768* 503 65% 354 46% xc2v80-6cs144 512* 504 98% 366 71% xc4vlx15-12sf363 6114* 512 8% 360 5% xc5vlx30-3ff324 4800* 187 3% 141 2% Table 1.3 Comparison of delays I2 C- Slave SPI -Slave Xilinx’sFPGA Device Clock –To- Setup paths All Paths Clock –To- Setup paths All Paths xc2s50-6tq144 12.079ns 19.616ns 12.234 ns 17.042 ns xc2s50-5tq144 7.636 ns 14.996 ns 7.835 ns 12.089 ns xc2v80-6cs144 6.483 ns 12.806ns 6.604 ns 10.234 ns xc4vlx15-12sf363 4.863 ns 9.572 ns 5.006 ns 8.880 ns xc5vlx30-3ff324 3.606 ns 7.998 ns 3.303 ns 6.971 ns [8] Compares the current consumption of gated clock design and clock design. Table 1.4 Current consumption analysis of clock gated design Component Current consumption of Non-Gated clock Reduced consumption using Gated clock Clock Tree 36mA 0% RAMs 0mA 100% Flip-flpos 32mA 81% Combinational circuitry 10mA 0% Total 78mA 72% This paper has proven that by using clock gating dynamic current consumption of a 200K- ASIC reduced from 280mA to 78mA [9] This paper describes the two communication protocol I2 C and SPI. According to bus topology/routing I2 C is a winner over SPI. If data must be transferred at “high speed”, SPI is
  • 4. Analysis of Power Optimization of Serial Communication Protocol-Memory–Switch Interface www.irjes.com 39 | Page choice over I2 C because SPI is full -duplex .This paper prove that when there is a need to implement a communication between an integrated circuit such as microcontroller and a set of relatively slows peripherals, there is I2 C and SPI perfectly fit the bill. [17] This paper proves that by using RTL clock gating and SPI design power savings of about 30% and 36% with different clock gating techniques in VLSI circuits at RTL level. IV. CONCLUSION This paper reviewed techniques and two communication protocols for data transmission for power- efficient system design. Clock gating is the most effective technique to reduce the dynamic power. Both SPI and I2 C offer good support for communication with low-speed devices, but SPI is better suited to applications in which devices transfer data streams, whereas I2 C is better at multi-master “register access” applications. REFERENCES [1]. A. Godara, S. Chaudhary, “Power Consumption Reduction using RTL Clock Gating in AHB Slave-SPI Master Architecture”, International Journal of VLSI & Signal Processing Applications, Vol.2, Issue 1 Feb. 2012, pp81-84. [2]. Ahuja S. et. al, ”System level simulation guided approach to improve the efficacy of clock gating”, High Level Design Validation And Test Workshop (HLDVT),2010 IEEE International,10-12 June 2010 , Anaheim,pp9-16. [3]. A.K. Oudjida et. al, ”Design and test of general-purpose SPI Master/Slave IPs on OPB bus”, Systems Signals and Devices (SSD), 2010 7th International Multi-Conference ,27-30 June 2010, Amman,pp1-6. [4]. A.K. Oudjida et. al, “FPGA implementation of SPI andI2 C protocols” ISBN No. 978-1-4244-5091- 6/09/ 2009IEEE. [5]. Bhutada, R. Manoli, Y.,”Complex clock gating with integrated clock gating logic cell”, Design & Technology of Integrated Systems in Nanoscale Era, 2007. DTIS. International Conference, 2-5 Sept. 2007,Rabat,pp164 – 169. [6]. C. Sharma, A. Godara, “AHB Interface with SPI by using VERILOG”, International Journal of Advances in Engineering Research (IJAER) , Vol. No. 2, Issue No. VI, Dec.2011. [7]. Darold Wobschall, HariSai Prasad, ”Esbus -A Sensor Bus based on the SPI Serial Interface”,0-7803- 7454-1/02© 2002. [8]. Frank Emnett and Mark Biegel, “Power Reduction through RTL clock gating”, Automotive Integrated Electronics Corporation, 2000. [9]. Frederic Leens, “An Introduction to I2C and SPI Protocols”, IEEE Instrumentation & Measurement Magazine, 1094-6968/09©2009IEEE. [10]. Macii, E.,“RTL power estimation and optimization”,Integrated Circuits and Systems Design, 2004. SBCCI 2004. 17th Symposium ,7-11 Sept. 2004,Politecnico di Torino, Italy. [11]. Manish Kumar Sexana , Ekanash Bhatnaga,” Reconfigurable Architecture for IP Peripherals”, The International Conference On Signals And Electronic System, September 7-10,2010,Poland,pp347-350. [12]. M.K Mdarsha et. al, ” Characteristics of Serial Peripheral Interface (SPI) Timing Parameters for Optical Mouse Sensor”, Year 2006 IEEE. [13]. Matthew Turner and John Naber,” The Design of a Bi-directional, RFID- based ASIC for Interfacing with SPI BUS Peripherals”, IEEE 978-1-4244-7773-9/10©2010 pp554-557. [14]. Papachristou ,Christos A.,“A multiple clocking scheme for low-power RTL design” ,Very Large Scale Integration (VLSI) Systems, IEEE Transactions, Volume no.7, June 1999, pp266 – 276. [15]. Paul Myers,” Interfacing using serial protocols: SPI and I2 C”, EMRT Consultants, 2005. [16]. Qi Wang, Roy. S, ”RTL power optimization with gate –level accuracy”, Computer Aided Design,2003.ICCAD-2003,International Conference,9-13 Nov,2003,1-58113-762-1,pp39-45. [17]. Shinde J,S. Alankar, S.S ,“Clock gating - A power optimizing technique for VLSI circuits”, India Conference (INDICON), 2011 Annual IEEE 16-18, Hyderabad Dec. 2011, pp1 – 4. [18]. Suliaman, D.R., ”Using clock gating technique for energy reduction in portable computer”, Computer & Communication Engineering, ICCCE May2008,Kuala Lumpur, International Conference ,13- 15,pp839-842. [19]. Takeshi Kitahara et. al, “A Clock –Gating Method for Low – Power LSI Design”, Semiconductor DA & Test Engineering Centre Toshiba Corporation. [20]. Thalluri, L. et. al, “Design of low power and high performance router using dynamic power reduction technique”, Devices Circuits and Systems (ICDCS), 2012 International Conference, 15-16 March 2012, Coimbatore ,pp49 – 53. [21]. Vyagrheswarudu, N. ; Das, S. ; .Ranjan.A“Power Adviser: An RTL power platform for interactive sequential optimizations”, Design, Automation & Test in Europe Conference & Exhibition (DATE),
  • 5. Analysis of Power Optimization of Serial Communication Protocol-Memory–Switch Interface www.irjes.com 40 | Page 2012: 12-16 Takeshi Kitahara et. al, “A Clock –Gating Method for Low – Power LSI Design”, Semiconductor DA & Test Engineering Centre Toshiba Corporation. [22]. www.soccentrAl.com/results.asp?CatID=488&EntryID=28753 [23]. Xin Man,Kimura, S.” Comparison of optimized multi-stage clock gating with structural gating approach” TENCON 2011 - 2011 IEEE Region 10 Conference, 21-24 Nov. 2011, pp651 – 656.