SlideShare a Scribd company logo
info@multisoftsystems.com 98103 06956
Verilog, SV and UVM
Course Content
www.multisoftsystems.com B-125, Sector 2 Noida
info@multisoftsystems.com 98103 06956
Verilog, SV and UVM
Course Content
Pre-requisites:-
1. https://www.edaplayground.com/ EDA Playground Registration to access Simulation
Tools.
2. Basic knowledge of Digital Design Concepts.
3. Fundamentals of any Programming Language preferably Verilog.
Goal:-
 Understanding of SV and UVM Environment, Test bench and Verification
Challenges.
 Should be able to writing System Verilog and UVM TB model for simple to
complex Digital Logic circuits.
info@multisoftsystems.com 98103 06956
I. HDL – Verilog
4. Introduction
5. Language Basics
6. Behavioral Verilog
7. Modeling Techniques
8. Miscellaneous Topics
9. Synthesis Perspective
10.Gate Level Modeling, Timing specification capture
11.Verification Perspective
12.Introduction to PLI(Program Language Interface)
13.Verilog-2001 enhancements
1. Assessment: - FAQ Discussion & DIY (Do It Yourself) of Digital Block Design & Test bench
info@multisoftsystems.com 98103 06956
Phase -2
II. SV-Design and Verification (HDL & HVL)
 Introduction.
 Enhanced, New data types
 Structs, Unions
 Procedural Constructs
 Arrays
 Packages
 Port Connections
 Interface, Modport Clocking block.
 Classes: Object Oriented Programming
 Arrays, Data Types, Literals, Operators
 Scheduling Semantics, Inter process Synchronization
 Processes, Threads, Tasks and Functions
 Randomization, Constraints
 Interface, Clocking blocks, Program Block
 Functional Coverage
 System Tasks & Functions
 Compiler Directives
info@multisoftsystems.com 98103 06956
2. Assessment: - FAQ Discussion & DIY (Do It Yourself) of Test Bench
14.Introduction on Verification technologies
15.Verification using SV Test bench
III. UVM (UNIVERSAL VERIFICATION METHODOLOGY)
UVM Overview
 UVM Evolution
 UVM Structural Pieces and Classes
 Phases, Reporting, Factory and Config_db
 Writing a Simple UVM Testbench
 UVM Classes and Field Macros
 UVM Environment Architecture
 TB Top, Test, Environment, Agent
 Sequencer, Driver, Monitor, Scoreboard
 Sequence and Sequence Item
 Factory Overriding
 Functional Coverage Integration
 Signal level Functional Coverage
 Transaction level Functional Coverage
 Integrating Functional Coverage into UVM Testbench
info@multisoftsystems.com 98103 06956
3. Assessment: - FAQ Discussion & DIY (Do It Yourself) of Test Bench
 Introduction on Verification technologies
 Verification using UVM Test bench

More Related Content

Similar to Verilog, SV and UVM _Course Content.pdf

AtifBhatti resume
AtifBhatti resumeAtifBhatti resume
AtifBhatti resumeAtif Bhatti
 
meghana_resume
meghana_resumemeghana_resume
meghana_resumemeghana t
 
Kishore resume
Kishore resumeKishore resume
Kishore ems resume
Kishore ems resumeKishore ems resume
Kishore ems resume
Nanda Kishore Idimadakala
 
Testing syllabus
Testing syllabusTesting syllabus
Testing syllabus
Rohit Chintu
 
Michael_Joshua_Validation
Michael_Joshua_ValidationMichael_Joshua_Validation
Michael_Joshua_ValidationMichaelJoshua
 
SPOORTHI_P_Curriculum vitae (1)
SPOORTHI_P_Curriculum vitae (1)SPOORTHI_P_Curriculum vitae (1)
SPOORTHI_P_Curriculum vitae (1)spoorthy shetty
 
Psi multi accessgateway_casestudy
Psi multi accessgateway_casestudyPsi multi accessgateway_casestudy
Psi multi accessgateway_casestudyPrimesoftinc
 
20070925 03 - La qualimétrie en environnement industriel (Schneider automation)
20070925 03 - La qualimétrie en environnement industriel (Schneider automation)20070925 03 - La qualimétrie en environnement industriel (Schneider automation)
20070925 03 - La qualimétrie en environnement industriel (Schneider automation)
LeClubQualiteLogicielle
 
Resume_Gowdhaman_23102016
Resume_Gowdhaman_23102016Resume_Gowdhaman_23102016
Resume_Gowdhaman_23102016Gowdhaman U
 

Similar to Verilog, SV and UVM _Course Content.pdf (20)

Avnish
AvnishAvnish
Avnish
 
Resume
ResumeResume
Resume
 
AtifBhatti resume
AtifBhatti resumeAtifBhatti resume
AtifBhatti resume
 
ChandraPrabhaSR_Resume
ChandraPrabhaSR_ResumeChandraPrabhaSR_Resume
ChandraPrabhaSR_Resume
 
meghana_resume
meghana_resumemeghana_resume
meghana_resume
 
ChandraPrabhaSR_Resume
ChandraPrabhaSR_ResumeChandraPrabhaSR_Resume
ChandraPrabhaSR_Resume
 
gnaneshwar.resume
gnaneshwar.resumegnaneshwar.resume
gnaneshwar.resume
 
UmaResumeQTP
UmaResumeQTPUmaResumeQTP
UmaResumeQTP
 
Kishore resume
Kishore resumeKishore resume
Kishore resume
 
Kishore ems resume
Kishore ems resumeKishore ems resume
Kishore ems resume
 
Testing syllabus
Testing syllabusTesting syllabus
Testing syllabus
 
Sudha Madhuri Yagnamurthy Resume 2 (5)
Sudha Madhuri Yagnamurthy Resume 2 (5)Sudha Madhuri Yagnamurthy Resume 2 (5)
Sudha Madhuri Yagnamurthy Resume 2 (5)
 
RESUME_Priyadarshini T R
RESUME_Priyadarshini T RRESUME_Priyadarshini T R
RESUME_Priyadarshini T R
 
Antony Lenat Raja S Resume
Antony Lenat Raja S ResumeAntony Lenat Raja S Resume
Antony Lenat Raja S Resume
 
Michael_Joshua_Validation
Michael_Joshua_ValidationMichael_Joshua_Validation
Michael_Joshua_Validation
 
Resume
ResumeResume
Resume
 
SPOORTHI_P_Curriculum vitae (1)
SPOORTHI_P_Curriculum vitae (1)SPOORTHI_P_Curriculum vitae (1)
SPOORTHI_P_Curriculum vitae (1)
 
Psi multi accessgateway_casestudy
Psi multi accessgateway_casestudyPsi multi accessgateway_casestudy
Psi multi accessgateway_casestudy
 
20070925 03 - La qualimétrie en environnement industriel (Schneider automation)
20070925 03 - La qualimétrie en environnement industriel (Schneider automation)20070925 03 - La qualimétrie en environnement industriel (Schneider automation)
20070925 03 - La qualimétrie en environnement industriel (Schneider automation)
 
Resume_Gowdhaman_23102016
Resume_Gowdhaman_23102016Resume_Gowdhaman_23102016
Resume_Gowdhaman_23102016
 

More from Multisoft Systems

Process Engineering _Course Content.pdf
Process Engineering _Course Content.pdfProcess Engineering _Course Content.pdf
Process Engineering _Course Content.pdf
Multisoft Systems
 
AutoPIPE Advanced _Course Content.pdf
AutoPIPE Advanced _Course Content.pdfAutoPIPE Advanced _Course Content.pdf
AutoPIPE Advanced _Course Content.pdf
Multisoft Systems
 
SAP_EWM _Course Content.pdf
SAP_EWM _Course Content.pdfSAP_EWM _Course Content.pdf
SAP_EWM _Course Content.pdf
Multisoft Systems
 
Zfx_biomet_zimmer_machine_training _Course Content.pdf
Zfx_biomet_zimmer_machine_training _Course Content.pdfZfx_biomet_zimmer_machine_training _Course Content.pdf
Zfx_biomet_zimmer_machine_training _Course Content.pdf
Multisoft Systems
 
CHFI _Course Content.pdf
CHFI _Course Content.pdfCHFI _Course Content.pdf
CHFI _Course Content.pdf
Multisoft Systems
 
AWS _Course Content.pdf
AWS _Course Content.pdfAWS _Course Content.pdf
AWS _Course Content.pdf
Multisoft Systems
 
Microcontroller PIC 32_Course Content.pdf
Microcontroller PIC 32_Course Content.pdfMicrocontroller PIC 32_Course Content.pdf
Microcontroller PIC 32_Course Content.pdf
Multisoft Systems
 
CCNA Collaboration _Course Content.pdf
CCNA Collaboration _Course Content.pdfCCNA Collaboration _Course Content.pdf
CCNA Collaboration _Course Content.pdf
Multisoft Systems
 
PingDirectory _Course Content.pdf
PingDirectory _Course Content.pdfPingDirectory _Course Content.pdf
PingDirectory _Course Content.pdf
Multisoft Systems
 
PCB Design_Course Content.pdf
PCB Design_Course Content.pdfPCB Design_Course Content.pdf
PCB Design_Course Content.pdf
Multisoft Systems
 
ELK Stack with Kibana _Course Content.pdf
ELK Stack with Kibana _Course Content.pdfELK Stack with Kibana _Course Content.pdf
ELK Stack with Kibana _Course Content.pdf
Multisoft Systems
 
5G Protocol Testing_Course Content.pdf
5G Protocol  Testing_Course Content.pdf5G Protocol  Testing_Course Content.pdf
5G Protocol Testing_Course Content.pdf
Multisoft Systems
 
SAP FS CD_Course Content.pdf
SAP FS CD_Course Content.pdfSAP FS CD_Course Content.pdf
SAP FS CD_Course Content.pdf
Multisoft Systems
 
SAP IS Retail _Course Content.pdf
SAP IS Retail _Course Content.pdfSAP IS Retail _Course Content.pdf
SAP IS Retail _Course Content.pdf
Multisoft Systems
 
Fixed Assets in Microsoft Dynamics NAV 2018 _Course Content.pdf
Fixed Assets in Microsoft Dynamics NAV 2018 _Course Content.pdfFixed Assets in Microsoft Dynamics NAV 2018 _Course Content.pdf
Fixed Assets in Microsoft Dynamics NAV 2018 _Course Content.pdf
Multisoft Systems
 
SAP EHSM _Course Content.pdf
SAP EHSM _Course Content.pdfSAP EHSM _Course Content.pdf
SAP EHSM _Course Content.pdf
Multisoft Systems
 
Airflow _Course Content.pdf
Airflow _Course Content.pdfAirflow _Course Content.pdf
Airflow _Course Content.pdf
Multisoft Systems
 
Informatica power center_Course Content.pdf
Informatica power center_Course Content.pdfInformatica power center_Course Content.pdf
Informatica power center_Course Content.pdf
Multisoft Systems
 
SP3D_Course Content.pdf
SP3D_Course Content.pdfSP3D_Course Content.pdf
SP3D_Course Content.pdf
Multisoft Systems
 
Python django flash_Course Content.pdf
Python django flash_Course Content.pdfPython django flash_Course Content.pdf
Python django flash_Course Content.pdf
Multisoft Systems
 

More from Multisoft Systems (20)

Process Engineering _Course Content.pdf
Process Engineering _Course Content.pdfProcess Engineering _Course Content.pdf
Process Engineering _Course Content.pdf
 
AutoPIPE Advanced _Course Content.pdf
AutoPIPE Advanced _Course Content.pdfAutoPIPE Advanced _Course Content.pdf
AutoPIPE Advanced _Course Content.pdf
 
SAP_EWM _Course Content.pdf
SAP_EWM _Course Content.pdfSAP_EWM _Course Content.pdf
SAP_EWM _Course Content.pdf
 
Zfx_biomet_zimmer_machine_training _Course Content.pdf
Zfx_biomet_zimmer_machine_training _Course Content.pdfZfx_biomet_zimmer_machine_training _Course Content.pdf
Zfx_biomet_zimmer_machine_training _Course Content.pdf
 
CHFI _Course Content.pdf
CHFI _Course Content.pdfCHFI _Course Content.pdf
CHFI _Course Content.pdf
 
AWS _Course Content.pdf
AWS _Course Content.pdfAWS _Course Content.pdf
AWS _Course Content.pdf
 
Microcontroller PIC 32_Course Content.pdf
Microcontroller PIC 32_Course Content.pdfMicrocontroller PIC 32_Course Content.pdf
Microcontroller PIC 32_Course Content.pdf
 
CCNA Collaboration _Course Content.pdf
CCNA Collaboration _Course Content.pdfCCNA Collaboration _Course Content.pdf
CCNA Collaboration _Course Content.pdf
 
PingDirectory _Course Content.pdf
PingDirectory _Course Content.pdfPingDirectory _Course Content.pdf
PingDirectory _Course Content.pdf
 
PCB Design_Course Content.pdf
PCB Design_Course Content.pdfPCB Design_Course Content.pdf
PCB Design_Course Content.pdf
 
ELK Stack with Kibana _Course Content.pdf
ELK Stack with Kibana _Course Content.pdfELK Stack with Kibana _Course Content.pdf
ELK Stack with Kibana _Course Content.pdf
 
5G Protocol Testing_Course Content.pdf
5G Protocol  Testing_Course Content.pdf5G Protocol  Testing_Course Content.pdf
5G Protocol Testing_Course Content.pdf
 
SAP FS CD_Course Content.pdf
SAP FS CD_Course Content.pdfSAP FS CD_Course Content.pdf
SAP FS CD_Course Content.pdf
 
SAP IS Retail _Course Content.pdf
SAP IS Retail _Course Content.pdfSAP IS Retail _Course Content.pdf
SAP IS Retail _Course Content.pdf
 
Fixed Assets in Microsoft Dynamics NAV 2018 _Course Content.pdf
Fixed Assets in Microsoft Dynamics NAV 2018 _Course Content.pdfFixed Assets in Microsoft Dynamics NAV 2018 _Course Content.pdf
Fixed Assets in Microsoft Dynamics NAV 2018 _Course Content.pdf
 
SAP EHSM _Course Content.pdf
SAP EHSM _Course Content.pdfSAP EHSM _Course Content.pdf
SAP EHSM _Course Content.pdf
 
Airflow _Course Content.pdf
Airflow _Course Content.pdfAirflow _Course Content.pdf
Airflow _Course Content.pdf
 
Informatica power center_Course Content.pdf
Informatica power center_Course Content.pdfInformatica power center_Course Content.pdf
Informatica power center_Course Content.pdf
 
SP3D_Course Content.pdf
SP3D_Course Content.pdfSP3D_Course Content.pdf
SP3D_Course Content.pdf
 
Python django flash_Course Content.pdf
Python django flash_Course Content.pdfPython django flash_Course Content.pdf
Python django flash_Course Content.pdf
 

Recently uploaded

June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...
June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...
June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...
Levi Shapiro
 
Welcome to TechSoup New Member Orientation and Q&A (May 2024).pdf
Welcome to TechSoup   New Member Orientation and Q&A (May 2024).pdfWelcome to TechSoup   New Member Orientation and Q&A (May 2024).pdf
Welcome to TechSoup New Member Orientation and Q&A (May 2024).pdf
TechSoup
 
The French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free downloadThe French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free download
Vivekanand Anglo Vedic Academy
 
Palestine last event orientationfvgnh .pptx
Palestine last event orientationfvgnh .pptxPalestine last event orientationfvgnh .pptx
Palestine last event orientationfvgnh .pptx
RaedMohamed3
 
CACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdfCACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdf
camakaiclarkmusic
 
Lapbook sobre os Regimes Totalitários.pdf
Lapbook sobre os Regimes Totalitários.pdfLapbook sobre os Regimes Totalitários.pdf
Lapbook sobre os Regimes Totalitários.pdf
Jean Carlos Nunes Paixão
 
How to Make a Field invisible in Odoo 17
How to Make a Field invisible in Odoo 17How to Make a Field invisible in Odoo 17
How to Make a Field invisible in Odoo 17
Celine George
 
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCECLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
BhavyaRajput3
 
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
siemaillard
 
Home assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdfHome assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdf
Tamralipta Mahavidyalaya
 
The Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdfThe Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdf
kaushalkr1407
 
Additional Benefits for Employee Website.pdf
Additional Benefits for Employee Website.pdfAdditional Benefits for Employee Website.pdf
Additional Benefits for Employee Website.pdf
joachimlavalley1
 
A Strategic Approach: GenAI in Education
A Strategic Approach: GenAI in EducationA Strategic Approach: GenAI in Education
A Strategic Approach: GenAI in Education
Peter Windle
 
678020731-Sumas-y-Restas-Para-Colorear.pdf
678020731-Sumas-y-Restas-Para-Colorear.pdf678020731-Sumas-y-Restas-Para-Colorear.pdf
678020731-Sumas-y-Restas-Para-Colorear.pdf
CarlosHernanMontoyab2
 
Acetabularia Information For Class 9 .docx
Acetabularia Information For Class 9  .docxAcetabularia Information For Class 9  .docx
Acetabularia Information For Class 9 .docx
vaibhavrinwa19
 
TESDA TM1 REVIEWER FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
TESDA TM1 REVIEWER  FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...TESDA TM1 REVIEWER  FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
TESDA TM1 REVIEWER FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
EugeneSaldivar
 
Operation Blue Star - Saka Neela Tara
Operation Blue Star   -  Saka Neela TaraOperation Blue Star   -  Saka Neela Tara
Operation Blue Star - Saka Neela Tara
Balvir Singh
 
Honest Reviews of Tim Han LMA Course Program.pptx
Honest Reviews of Tim Han LMA Course Program.pptxHonest Reviews of Tim Han LMA Course Program.pptx
Honest Reviews of Tim Han LMA Course Program.pptx
timhan337
 
special B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdfspecial B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdf
Special education needs
 
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
MysoreMuleSoftMeetup
 

Recently uploaded (20)

June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...
June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...
June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...
 
Welcome to TechSoup New Member Orientation and Q&A (May 2024).pdf
Welcome to TechSoup   New Member Orientation and Q&A (May 2024).pdfWelcome to TechSoup   New Member Orientation and Q&A (May 2024).pdf
Welcome to TechSoup New Member Orientation and Q&A (May 2024).pdf
 
The French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free downloadThe French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free download
 
Palestine last event orientationfvgnh .pptx
Palestine last event orientationfvgnh .pptxPalestine last event orientationfvgnh .pptx
Palestine last event orientationfvgnh .pptx
 
CACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdfCACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdf
 
Lapbook sobre os Regimes Totalitários.pdf
Lapbook sobre os Regimes Totalitários.pdfLapbook sobre os Regimes Totalitários.pdf
Lapbook sobre os Regimes Totalitários.pdf
 
How to Make a Field invisible in Odoo 17
How to Make a Field invisible in Odoo 17How to Make a Field invisible in Odoo 17
How to Make a Field invisible in Odoo 17
 
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCECLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
 
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
 
Home assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdfHome assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdf
 
The Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdfThe Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdf
 
Additional Benefits for Employee Website.pdf
Additional Benefits for Employee Website.pdfAdditional Benefits for Employee Website.pdf
Additional Benefits for Employee Website.pdf
 
A Strategic Approach: GenAI in Education
A Strategic Approach: GenAI in EducationA Strategic Approach: GenAI in Education
A Strategic Approach: GenAI in Education
 
678020731-Sumas-y-Restas-Para-Colorear.pdf
678020731-Sumas-y-Restas-Para-Colorear.pdf678020731-Sumas-y-Restas-Para-Colorear.pdf
678020731-Sumas-y-Restas-Para-Colorear.pdf
 
Acetabularia Information For Class 9 .docx
Acetabularia Information For Class 9  .docxAcetabularia Information For Class 9  .docx
Acetabularia Information For Class 9 .docx
 
TESDA TM1 REVIEWER FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
TESDA TM1 REVIEWER  FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...TESDA TM1 REVIEWER  FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
TESDA TM1 REVIEWER FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
 
Operation Blue Star - Saka Neela Tara
Operation Blue Star   -  Saka Neela TaraOperation Blue Star   -  Saka Neela Tara
Operation Blue Star - Saka Neela Tara
 
Honest Reviews of Tim Han LMA Course Program.pptx
Honest Reviews of Tim Han LMA Course Program.pptxHonest Reviews of Tim Han LMA Course Program.pptx
Honest Reviews of Tim Han LMA Course Program.pptx
 
special B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdfspecial B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdf
 
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
 

Verilog, SV and UVM _Course Content.pdf

  • 1. info@multisoftsystems.com 98103 06956 Verilog, SV and UVM Course Content www.multisoftsystems.com B-125, Sector 2 Noida
  • 2. info@multisoftsystems.com 98103 06956 Verilog, SV and UVM Course Content Pre-requisites:- 1. https://www.edaplayground.com/ EDA Playground Registration to access Simulation Tools. 2. Basic knowledge of Digital Design Concepts. 3. Fundamentals of any Programming Language preferably Verilog. Goal:-  Understanding of SV and UVM Environment, Test bench and Verification Challenges.  Should be able to writing System Verilog and UVM TB model for simple to complex Digital Logic circuits.
  • 3. info@multisoftsystems.com 98103 06956 I. HDL – Verilog 4. Introduction 5. Language Basics 6. Behavioral Verilog 7. Modeling Techniques 8. Miscellaneous Topics 9. Synthesis Perspective 10.Gate Level Modeling, Timing specification capture 11.Verification Perspective 12.Introduction to PLI(Program Language Interface) 13.Verilog-2001 enhancements 1. Assessment: - FAQ Discussion & DIY (Do It Yourself) of Digital Block Design & Test bench
  • 4. info@multisoftsystems.com 98103 06956 Phase -2 II. SV-Design and Verification (HDL & HVL)  Introduction.  Enhanced, New data types  Structs, Unions  Procedural Constructs  Arrays  Packages  Port Connections  Interface, Modport Clocking block.  Classes: Object Oriented Programming  Arrays, Data Types, Literals, Operators  Scheduling Semantics, Inter process Synchronization  Processes, Threads, Tasks and Functions  Randomization, Constraints  Interface, Clocking blocks, Program Block  Functional Coverage  System Tasks & Functions  Compiler Directives
  • 5. info@multisoftsystems.com 98103 06956 2. Assessment: - FAQ Discussion & DIY (Do It Yourself) of Test Bench 14.Introduction on Verification technologies 15.Verification using SV Test bench III. UVM (UNIVERSAL VERIFICATION METHODOLOGY) UVM Overview  UVM Evolution  UVM Structural Pieces and Classes  Phases, Reporting, Factory and Config_db  Writing a Simple UVM Testbench  UVM Classes and Field Macros  UVM Environment Architecture  TB Top, Test, Environment, Agent  Sequencer, Driver, Monitor, Scoreboard  Sequence and Sequence Item  Factory Overriding  Functional Coverage Integration  Signal level Functional Coverage  Transaction level Functional Coverage  Integrating Functional Coverage into UVM Testbench
  • 6. info@multisoftsystems.com 98103 06956 3. Assessment: - FAQ Discussion & DIY (Do It Yourself) of Test Bench  Introduction on Verification technologies  Verification using UVM Test bench