The document describes the verification of a watchdog timer with an APB interface using the Universal Verification Methodology (UVM). The watchdog timer design is developed in Verilog and verified using a UVM-based testbench. The testbench components like agents, drivers, monitors, and scoreboard are used to generate random stimuli and verify the functionality of the APB interface and watchdog timer. The advantages of the UVM methodology include reusability of verification components and ease of modifying tests, leading to reduced verification time for complex designs.
Microcontroller Based Testing of Digital IP-CoreVLSICS Design
Testing core based System on Chip [1] is a challenge for the test engineers. To test the complete SOC at one time with maximum fault coverage, test engineers prefer to test each IP-core separately. At speed testing using external testers is more expensive because of gigahertz processor. The purpose of this paper is to develop cost efficient and flexible test methodology for testing digital IP-cores [2]. The prominent feature of the approach is to use microcontroller to test IP-core. The novel feature is that there is no need of test pattern generator and output response analyzer as microcontroller performs the function of both. This approach has various advantages such as at speed testing, low cost, less area overhead and greater flexibility since most of the testing process is based on software.
A Unique Test Bench for Various System-on-a-Chip IJECEIAES
This paper discusses a standard flow on how an automated test bench environment which is randomized with constraints can verify a SOC efficiently for its functionality and coverage. Today, in the time of multimillion gate ASICs, reusable intellectual property (IP), and system-ona-chip (SoC) designs, verification consumes about 70 % of the design effort. Automation means a machine completes a task autonomously, quicker and with predictable results. Automation requires standard processes with welldefined inputs and outputs. By using this efficient methodology it is possible to provide a general purpose automation solution for verification, given today’s technology. Tools automating various portions of the verification process are being introduced. Here, we have Communication based SOC The content of the paper discusses about the methodology used to verify such a SOC-based environment. Cadence Efficient Verification Methodology libraries are explored for the solution of this problem. We can take this as a state of art approach in verifying SOC environments. The goal of this paper is to emphasize the unique testbench for different SOC using Efficient Verification Constructs implemented in system verilog for SOC verification.
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
The System on Chip design industry relies heavily on functional verification to ensure that the designs are bug-free. As design engineers are coming up with increasingly dense chips with much functionality, the functional verification field has advanced to provide modern verification techniques. In this paper, we
present verification of a wishbone compliant Serial Peripheral Interface (SPI) Master core using a System Verilog based standard verification methodology, the Universal Verification Methodology (UVM). The reason for using UVM factory pattern with parameterized classes is to develop a robust and reusable
verification IP. SPI is a full duplex communication protocol used to interface components most likely in embedded systems. We have verified an SPI Master IP core design that is wishbone compliant and compatible with SPI protocol and bus and furnished the results of our verification. We have used
QuestaSim for simulation and analysis of waveforms, Integrated Metrics Center, Cadence for coverage analysis. We also propose interesting future directions for this work in developing reliable systems.
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
The System on Chip design industry relies heavily on functional verification to ensure that the designs are bug-free. As design engineers are coming up with increasingly dense chips with much functionality, the functional verification field has advanced to provide modern verification techniques. In this paper, we present verification of a wishbone compliant Serial Peripheral Interface (SPI) Master core using a System Verilog based standard verification methodology, the Universal Verification Methodology (UVM). The reason for using UVM factory pattern with parameterized classes is to develop a robust and reusable verification IP. SPI is a full duplex communication protocol used to interface components most likely in embedded systems. We have verified an SPI Master IP core design that is wishbone compliant and compatible with SPI protocol and bus and furnished the results of our verification. We have used QuestaSim for simulation and analysis of waveforms, Integrated Metrics Center, Cadence for coverage analysis. We also propose interesting future directions for this work in developing reliable systems.
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
The System on Chip design industry relies heavily on functional verification to ensure that the designs are bug-free. As design engineers are coming up with increasingly dense chips with much functionality, the functional verification field has advanced to provide modern verification techniques. In this paper, we
present verification of a wishbone compliant Serial Peripheral Interface (SPI) Master core using a System Verilog based standard verification methodology, the Universal Verification Methodology (UVM). The reason for using UVM factory pattern with parameterized classes is to develop a robust and reusable
verification IP. SPI is a full duplex communication protocol used to interface components most likely in embedded systems. We have verified an SPI Master IP core design that is wishbone compliant and compatible with SPI protocol and bus and furnished the results of our verification. We have used
QuestaSim for simulation and analysis of waveforms, Integrated Metrics Center, Cadence for coverage analysis. We also propose interesting future directions for this work in developing reliable systems.
As the complexity of the scan algorithm is dependent on the number of design registers, large SoC scan
designs can no longer be verified in RTL simulation unless partitioned into smaller sub-blocks. This paper
proposes a methodology to decrease scan-chain verification time utilizing SCE-MI, a widely used
communication protocol for emulation, and an FPGA-based emulation platform. A high-level (SystemC)
testbench and FPGA synthesizable hardware transactor models are developed for the scan-chain ISCAS89
S400 benchmark circuit for high-speed communication between the host CPU workstation and the FPGA
emulator. The emulation results are compared to other verification methodologies (RTL Simulation,
Simulation Acceleration, and Transaction-based emulation), and found to be 82% faster than regular RTL
simulation. In addition, the emulation runs in the MHz speed range, allowing the incorporation of software
applications, drivers, and operating systems, as opposed to the Hz range in RTL simulation or submegahertz
range as accomplished in transaction-based emulation. In addition, the integration of scan
testing and acceleration/emulation platforms allows more complex DFT methods to be developed and
tested on a large scale system, decreasing the time to market for products.
System Development for Verification of General Purpose Input OutputRSIS International
In SoC no. of IP block inside it depends upon specific
application, increase in the Ip block increases no. of digital
control lines causes increase in the size of the chip. GPIO helps
internal IP blocks to share digital control lines using MUX and
avoids additional circuitry. Since design productivity cannot
follow the pace of nanoelectronics technology innovation, it has
been required to develop various design methodologies to
overcome this gap. In system level design, various design
methodologies such as IP reuse, automation of platform
integration and verification process have been proposed. GPIO
configuration register decides in which mode system has to work
GPIO has four modes i.e input, output, functional, interrupt. As
per operation particular mode is selected and the operation get
performed. Devices with pin scarcity like integrated circuits such
as system-on-a-chip, embedded and custom hardware, and
programmable logic devices cannot compromise with size can
perform well without additional digital control line circuitry.
Microcontroller Based Testing of Digital IP-CoreVLSICS Design
Testing core based System on Chip [1] is a challenge for the test engineers. To test the complete SOC at one time with maximum fault coverage, test engineers prefer to test each IP-core separately. At speed testing using external testers is more expensive because of gigahertz processor. The purpose of this paper is to develop cost efficient and flexible test methodology for testing digital IP-cores [2]. The prominent feature of the approach is to use microcontroller to test IP-core. The novel feature is that there is no need of test pattern generator and output response analyzer as microcontroller performs the function of both. This approach has various advantages such as at speed testing, low cost, less area overhead and greater flexibility since most of the testing process is based on software.
A Unique Test Bench for Various System-on-a-Chip IJECEIAES
This paper discusses a standard flow on how an automated test bench environment which is randomized with constraints can verify a SOC efficiently for its functionality and coverage. Today, in the time of multimillion gate ASICs, reusable intellectual property (IP), and system-ona-chip (SoC) designs, verification consumes about 70 % of the design effort. Automation means a machine completes a task autonomously, quicker and with predictable results. Automation requires standard processes with welldefined inputs and outputs. By using this efficient methodology it is possible to provide a general purpose automation solution for verification, given today’s technology. Tools automating various portions of the verification process are being introduced. Here, we have Communication based SOC The content of the paper discusses about the methodology used to verify such a SOC-based environment. Cadence Efficient Verification Methodology libraries are explored for the solution of this problem. We can take this as a state of art approach in verifying SOC environments. The goal of this paper is to emphasize the unique testbench for different SOC using Efficient Verification Constructs implemented in system verilog for SOC verification.
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
The System on Chip design industry relies heavily on functional verification to ensure that the designs are bug-free. As design engineers are coming up with increasingly dense chips with much functionality, the functional verification field has advanced to provide modern verification techniques. In this paper, we
present verification of a wishbone compliant Serial Peripheral Interface (SPI) Master core using a System Verilog based standard verification methodology, the Universal Verification Methodology (UVM). The reason for using UVM factory pattern with parameterized classes is to develop a robust and reusable
verification IP. SPI is a full duplex communication protocol used to interface components most likely in embedded systems. We have verified an SPI Master IP core design that is wishbone compliant and compatible with SPI protocol and bus and furnished the results of our verification. We have used
QuestaSim for simulation and analysis of waveforms, Integrated Metrics Center, Cadence for coverage analysis. We also propose interesting future directions for this work in developing reliable systems.
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
The System on Chip design industry relies heavily on functional verification to ensure that the designs are bug-free. As design engineers are coming up with increasingly dense chips with much functionality, the functional verification field has advanced to provide modern verification techniques. In this paper, we present verification of a wishbone compliant Serial Peripheral Interface (SPI) Master core using a System Verilog based standard verification methodology, the Universal Verification Methodology (UVM). The reason for using UVM factory pattern with parameterized classes is to develop a robust and reusable verification IP. SPI is a full duplex communication protocol used to interface components most likely in embedded systems. We have verified an SPI Master IP core design that is wishbone compliant and compatible with SPI protocol and bus and furnished the results of our verification. We have used QuestaSim for simulation and analysis of waveforms, Integrated Metrics Center, Cadence for coverage analysis. We also propose interesting future directions for this work in developing reliable systems.
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
The System on Chip design industry relies heavily on functional verification to ensure that the designs are bug-free. As design engineers are coming up with increasingly dense chips with much functionality, the functional verification field has advanced to provide modern verification techniques. In this paper, we
present verification of a wishbone compliant Serial Peripheral Interface (SPI) Master core using a System Verilog based standard verification methodology, the Universal Verification Methodology (UVM). The reason for using UVM factory pattern with parameterized classes is to develop a robust and reusable
verification IP. SPI is a full duplex communication protocol used to interface components most likely in embedded systems. We have verified an SPI Master IP core design that is wishbone compliant and compatible with SPI protocol and bus and furnished the results of our verification. We have used
QuestaSim for simulation and analysis of waveforms, Integrated Metrics Center, Cadence for coverage analysis. We also propose interesting future directions for this work in developing reliable systems.
As the complexity of the scan algorithm is dependent on the number of design registers, large SoC scan
designs can no longer be verified in RTL simulation unless partitioned into smaller sub-blocks. This paper
proposes a methodology to decrease scan-chain verification time utilizing SCE-MI, a widely used
communication protocol for emulation, and an FPGA-based emulation platform. A high-level (SystemC)
testbench and FPGA synthesizable hardware transactor models are developed for the scan-chain ISCAS89
S400 benchmark circuit for high-speed communication between the host CPU workstation and the FPGA
emulator. The emulation results are compared to other verification methodologies (RTL Simulation,
Simulation Acceleration, and Transaction-based emulation), and found to be 82% faster than regular RTL
simulation. In addition, the emulation runs in the MHz speed range, allowing the incorporation of software
applications, drivers, and operating systems, as opposed to the Hz range in RTL simulation or submegahertz
range as accomplished in transaction-based emulation. In addition, the integration of scan
testing and acceleration/emulation platforms allows more complex DFT methods to be developed and
tested on a large scale system, decreasing the time to market for products.
System Development for Verification of General Purpose Input OutputRSIS International
In SoC no. of IP block inside it depends upon specific
application, increase in the Ip block increases no. of digital
control lines causes increase in the size of the chip. GPIO helps
internal IP blocks to share digital control lines using MUX and
avoids additional circuitry. Since design productivity cannot
follow the pace of nanoelectronics technology innovation, it has
been required to develop various design methodologies to
overcome this gap. In system level design, various design
methodologies such as IP reuse, automation of platform
integration and verification process have been proposed. GPIO
configuration register decides in which mode system has to work
GPIO has four modes i.e input, output, functional, interrupt. As
per operation particular mode is selected and the operation get
performed. Devices with pin scarcity like integrated circuits such
as system-on-a-chip, embedded and custom hardware, and
programmable logic devices cannot compromise with size can
perform well without additional digital control line circuitry.
SIMULATION-BASED APPLICATION SOFTWARE DEVELOPMENT IN TIME-TRIGGERED COMMUNICA...IJSEA
This paper introduces a simulation-based approach for design and test of application software for timetriggered
communication systems. The approach is based on the SIDERA simulation system that supports
the time-triggered real-time protocols TTP and FlexRay. We present a software development platform for
FlexRay based communication systems that provides an implementation of the AUTOSAR standard
interface for communication between host application and FlexRay communication controllers. For
validation, we present an application example in the course of which SIDERA has been deployed for
development and test of software modules for an automotive project in the field of driving dynamics
control.
Advanced Verification Methodology for Complex System on Chip VerificationVLSICS Design
Verification remains the most significant challenge in getting advanced SOC devices in market. The
important challenge to be solved in the Semiconductor industry is the growing complexity of SOCs.
Industry experts consider that the verification effort is almost 70% to 75% of the overall design effort.
Verification language cannot alone increase verification productivity but it must be accompanied by a
methodology to facilitate reuse to the maximum extent under different design IP configurations. This
Advanced reusable test bench development will decrease the time to market for a chip. It will help in code
reuse so that the same code used in sub-block level can be used in block level and top level as well that
helps in saving cost for a tape-out of a chip. This test bench development technique will help us to achieve
faster time to market and will help reducing the cost for the chip up to a large extent.
in process control it need to control and monitoring some important measurements such as measuring temperture of boilers,heat exchanger,etc..for safety assurance.
Online and Offline Testing Of C-Bist Using Sramiosrjce
Built-in self test techniques constitute a class of schemes that provide the capability of performing atspeed
testing with high fault coverage hence; they constitute an attractive solution to the problem of testing
VLSI devices. Concurrent BIST schemes perform testing during the circuit normal operation without imposing a
need to set the circuit offline to perform the test; therefore they can circumvent problems appearing in offline
BIST techniques. In this brief, a novel input vector monitoring concurrent BIST architecture has been presented,
based on the use of a SRAM-cell like register for storing the information of whether an input vector has
appeared or not during normal operation. The evaluation criteria for this class of schemes are the hardware
overhead and the CTL, i.e., the time required for the test to complete, while the circuit operates normally. The
simulation results shown to be more efficient than previously proposed Concurrent BIST techniques in terms of
hardware overhead and CTL.
G code based data receiving and control systemeSAT Journals
Abstract Today in engineering field technical research among the students are increased. To design and develop such hardware projects we may need to collect real time data from Data Acquisition System. These Systems are manufactured by very few companies in India. These systems are very expensive for the students. Hence students are in need of low cost data acquisition system to perform their research work. In this paper we have explained and implemented a Data Acquisition system (DAQ) using ATmega16 microcontroller. The GUI and data processing for DAQ system has been designed on LabVIEW and the system has been tested for different tasks. Keywords : Data Acquisition; LabVIEW; G-code; AVR ATmega-16;
JAVA 2013 IEEE CLOUDCOMPUTING PROJECT Attribute based encryption with verifia...IEEEGLOBALSOFTTECHNOLOGIES
To Get any Project for CSE, IT ECE, EEE Contact Me @ 09849539085, 09966235788 or mail us - ieeefinalsemprojects@gmail.com-Visit Our Website: www.finalyearprojects.org
Attribute based encryption with verifiable outsourced decryptionIEEEFINALYEARPROJECTS
To Get any Project for CSE, IT ECE, EEE Contact Me @ 09849539085, 09966235788 or mail us - ieeefinalsemprojects@gmail.co¬m-Visit Our Website: www.finalyearprojects.org
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...IOSRJVSP
The Advanced Microcontroller Bus Architecture (AMBA) is used as the on-chip bus in system-onchip (SoC) designs. APB is low bandwidth and low performance bus used to affix the peripherals like UART, Keypad, Timer and other segment equipment’s to the bus architecture. The aim of this paper is to design and implement AMBA APB (Advanced Microcontroller Bus Architecture - Advanced Peripheral Bus) Bridge with efficient deployment of system resources. Clock is a major concern in designing of any digital sequential system. Clock skew is introduced when the difference is generated between the arrival times of clock signal. One of the approaches to minimize clock skew is ripple counter. The three bit down ripple counter approach used. APB Bridge with clock skew minimization technique is implemented in the paper using Verilog HDL. For the simulation purpose, Vivado Design Suite ISim has been used. For the synthesization purpose and design utilization summary Vivado Integrated Design Environment (IDE)
Accelerating system verilog uvm based vip to improve methodology for verifica...VLSICS Design
In this paper we present the development of Acceleratable UVCs from standard UVCs in System Verilog
and their usage in UVM based Verification Environment of Image Signal Processing designs to increase
run time performance. This paper covers development of Acceleratable UVCs from standard UVCs for
internal control and data buses of ST imaging group by partitioning of transaction-level components and
cycle-accurate signal-level components between the software simulator and hardware accelerator
respectively. Standard Co-Emulation API: Modeling Interface (SCE-MI) compliant, transaction-level
communications link between test benches running on a host system and Emulation machine is established.
Accelerated Verification IPs are used at UVM based Verification Environment of Image Signal Processing
designs both with simulator and emulator as UVM acceleration is an extension of the standard simulationonly
UVM and is fully backward compatible with it. Acceleratable UVCs significantly reduces development
schedule risks while leveraging transaction models used during simulation.
In this paper, we discuss our experiences on UVM based methodology adoption on TestBench-Xpress
(TBX) based technology step by step. We are also doing comparison between the run time performance
results from earlier simulator-only environment and the new, hardware-accelerated environment. Although
this paper focuses on Acceleratable UVC’s development and their usage for image signal processing
designs. Same concept can be extended for non-image signal processing designs.
Load Balancing traffic in OpenStack neutron sufianfauzani
Load balancing refers to efficiently distributing incoming network traffic across a group of backend servers, also known as a server farm or server pool.
Load balancing enables OpenStack tenants to load-balance their traffic between ports.
SIMULATION-BASED APPLICATION SOFTWARE DEVELOPMENT IN TIME-TRIGGERED COMMUNICA...IJSEA
This paper introduces a simulation-based approach for design and test of application software for timetriggered
communication systems. The approach is based on the SIDERA simulation system that supports
the time-triggered real-time protocols TTP and FlexRay. We present a software development platform for
FlexRay based communication systems that provides an implementation of the AUTOSAR standard
interface for communication between host application and FlexRay communication controllers. For
validation, we present an application example in the course of which SIDERA has been deployed for
development and test of software modules for an automotive project in the field of driving dynamics
control.
Advanced Verification Methodology for Complex System on Chip VerificationVLSICS Design
Verification remains the most significant challenge in getting advanced SOC devices in market. The
important challenge to be solved in the Semiconductor industry is the growing complexity of SOCs.
Industry experts consider that the verification effort is almost 70% to 75% of the overall design effort.
Verification language cannot alone increase verification productivity but it must be accompanied by a
methodology to facilitate reuse to the maximum extent under different design IP configurations. This
Advanced reusable test bench development will decrease the time to market for a chip. It will help in code
reuse so that the same code used in sub-block level can be used in block level and top level as well that
helps in saving cost for a tape-out of a chip. This test bench development technique will help us to achieve
faster time to market and will help reducing the cost for the chip up to a large extent.
in process control it need to control and monitoring some important measurements such as measuring temperture of boilers,heat exchanger,etc..for safety assurance.
Online and Offline Testing Of C-Bist Using Sramiosrjce
Built-in self test techniques constitute a class of schemes that provide the capability of performing atspeed
testing with high fault coverage hence; they constitute an attractive solution to the problem of testing
VLSI devices. Concurrent BIST schemes perform testing during the circuit normal operation without imposing a
need to set the circuit offline to perform the test; therefore they can circumvent problems appearing in offline
BIST techniques. In this brief, a novel input vector monitoring concurrent BIST architecture has been presented,
based on the use of a SRAM-cell like register for storing the information of whether an input vector has
appeared or not during normal operation. The evaluation criteria for this class of schemes are the hardware
overhead and the CTL, i.e., the time required for the test to complete, while the circuit operates normally. The
simulation results shown to be more efficient than previously proposed Concurrent BIST techniques in terms of
hardware overhead and CTL.
G code based data receiving and control systemeSAT Journals
Abstract Today in engineering field technical research among the students are increased. To design and develop such hardware projects we may need to collect real time data from Data Acquisition System. These Systems are manufactured by very few companies in India. These systems are very expensive for the students. Hence students are in need of low cost data acquisition system to perform their research work. In this paper we have explained and implemented a Data Acquisition system (DAQ) using ATmega16 microcontroller. The GUI and data processing for DAQ system has been designed on LabVIEW and the system has been tested for different tasks. Keywords : Data Acquisition; LabVIEW; G-code; AVR ATmega-16;
JAVA 2013 IEEE CLOUDCOMPUTING PROJECT Attribute based encryption with verifia...IEEEGLOBALSOFTTECHNOLOGIES
To Get any Project for CSE, IT ECE, EEE Contact Me @ 09849539085, 09966235788 or mail us - ieeefinalsemprojects@gmail.com-Visit Our Website: www.finalyearprojects.org
Attribute based encryption with verifiable outsourced decryptionIEEEFINALYEARPROJECTS
To Get any Project for CSE, IT ECE, EEE Contact Me @ 09849539085, 09966235788 or mail us - ieeefinalsemprojects@gmail.co¬m-Visit Our Website: www.finalyearprojects.org
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...IOSRJVSP
The Advanced Microcontroller Bus Architecture (AMBA) is used as the on-chip bus in system-onchip (SoC) designs. APB is low bandwidth and low performance bus used to affix the peripherals like UART, Keypad, Timer and other segment equipment’s to the bus architecture. The aim of this paper is to design and implement AMBA APB (Advanced Microcontroller Bus Architecture - Advanced Peripheral Bus) Bridge with efficient deployment of system resources. Clock is a major concern in designing of any digital sequential system. Clock skew is introduced when the difference is generated between the arrival times of clock signal. One of the approaches to minimize clock skew is ripple counter. The three bit down ripple counter approach used. APB Bridge with clock skew minimization technique is implemented in the paper using Verilog HDL. For the simulation purpose, Vivado Design Suite ISim has been used. For the synthesization purpose and design utilization summary Vivado Integrated Design Environment (IDE)
Accelerating system verilog uvm based vip to improve methodology for verifica...VLSICS Design
In this paper we present the development of Acceleratable UVCs from standard UVCs in System Verilog
and their usage in UVM based Verification Environment of Image Signal Processing designs to increase
run time performance. This paper covers development of Acceleratable UVCs from standard UVCs for
internal control and data buses of ST imaging group by partitioning of transaction-level components and
cycle-accurate signal-level components between the software simulator and hardware accelerator
respectively. Standard Co-Emulation API: Modeling Interface (SCE-MI) compliant, transaction-level
communications link between test benches running on a host system and Emulation machine is established.
Accelerated Verification IPs are used at UVM based Verification Environment of Image Signal Processing
designs both with simulator and emulator as UVM acceleration is an extension of the standard simulationonly
UVM and is fully backward compatible with it. Acceleratable UVCs significantly reduces development
schedule risks while leveraging transaction models used during simulation.
In this paper, we discuss our experiences on UVM based methodology adoption on TestBench-Xpress
(TBX) based technology step by step. We are also doing comparison between the run time performance
results from earlier simulator-only environment and the new, hardware-accelerated environment. Although
this paper focuses on Acceleratable UVC’s development and their usage for image signal processing
designs. Same concept can be extended for non-image signal processing designs.
Load Balancing traffic in OpenStack neutron sufianfauzani
Load balancing refers to efficiently distributing incoming network traffic across a group of backend servers, also known as a server farm or server pool.
Load balancing enables OpenStack tenants to load-balance their traffic between ports.
Unleash Your Inner Demon with the "Let's Summon Demons" T-Shirt. Calling all fans of dark humor and edgy fashion! The "Let's Summon Demons" t-shirt is a unique way to express yourself and turn heads.
https://dribbble.com/shots/24253051-Let-s-Summon-Demons-Shirt
White wonder, Work developed by Eva TschoppMansi Shah
White Wonder by Eva Tschopp
A tale about our culture around the use of fertilizers and pesticides visiting small farms around Ahmedabad in Matar and Shilaj.
Expert Accessory Dwelling Unit (ADU) Drafting ServicesResDraft
Whether you’re looking to create a guest house, a rental unit, or a private retreat, our experienced team will design a space that complements your existing home and maximizes your investment. We provide personalized, comprehensive expert accessory dwelling unit (ADU)drafting solutions tailored to your needs, ensuring a seamless process from concept to completion.
Dive into the innovative world of smart garages with our insightful presentation, "Exploring the Future of Smart Garages." This comprehensive guide covers the latest advancements in garage technology, including automated systems, smart security features, energy efficiency solutions, and seamless integration with smart home ecosystems. Learn how these technologies are transforming traditional garages into high-tech, efficient spaces that enhance convenience, safety, and sustainability.
Ideal for homeowners, tech enthusiasts, and industry professionals, this presentation provides valuable insights into the trends, benefits, and future developments in smart garage technology. Stay ahead of the curve with our expert analysis and practical tips on implementing smart garage solutions.
7 Alternatives to Bullet Points in PowerPointAlvis Oh
So you tried all the ways to beautify your bullet points on your pitch deck but it just got way uglier. These points are supposed to be memorable and leave a lasting impression on your audience. With these tips, you'll no longer have to spend so much time thinking how you should present your pointers.
You could be a professional graphic designer and still make mistakes. There is always the possibility of human error. On the other hand if you’re not a designer, the chances of making some common graphic design mistakes are even higher. Because you don’t know what you don’t know. That’s where this blog comes in. To make your job easier and help you create better designs, we have put together a list of common graphic design mistakes that you need to avoid.
Transforming Brand Perception and Boosting Profitabilityaaryangarg12
In today's digital era, the dynamics of brand perception, consumer behavior, and profitability have been profoundly reshaped by the synergy of branding, social media, and website design. This research paper investigates the transformative power of these elements in influencing how individuals perceive brands and products and how this transformation can be harnessed to drive sales and profitability for businesses.
Through an exploration of brand psychology and consumer behavior, this study sheds light on the intricate ways in which effective branding strategies, strategic social media engagement, and user-centric website design contribute to altering consumers' perceptions. We delve into the principles that underlie successful brand transformations, examining how visual identity, messaging, and storytelling can captivate and resonate with target audiences.
Methodologically, this research employs a comprehensive approach, combining qualitative and quantitative analyses. Real-world case studies illustrate the impact of branding, social media campaigns, and website redesigns on consumer perception, sales figures, and profitability. We assess the various metrics, including brand awareness, customer engagement, conversion rates, and revenue growth, to measure the effectiveness of these strategies.
The results underscore the pivotal role of cohesive branding, social media influence, and website usability in shaping positive brand perceptions, influencing consumer decisions, and ultimately bolstering sales and profitability. This paper provides actionable insights and strategic recommendations for businesses seeking to leverage branding, social media, and website design as potent tools to enhance their market position and financial success.
1. JOURNAL OF ALGEBRAIC STATISTICS
Volume 13, No. 3, 2022, p. 5343-5350
https://publishoa.com
ISSN: 1309-3452
5343
UVM based Verification of Watchdog Timer with APB
Sharath S G, Dr. Venkateshappa
REVA UNIVERSITY
Received: 2022 March 15; Revised: 2022 April 20; Accepted: 2022 May 10
Abstract
Background: The Number of transistors in recent computer chip has crossed billion mark. The
VLSI design is becoming complex day by day. The Verification of such designs is a tedious process
as majority of time of development is consumed in verification. Most the blocks in these chips are
duplicated or replicated with some change in configuration. Verilog and System Verilog
Verification Methodology doesn’t have seamless support for reusability of the verification
components.
Objectives:The verification of Watchdog Timer with APB interface using UVM.
Methods: UVM Verification Methodology uses System Verilog framework to build the
testbench.UVM methodology defines various verification components. The tests of sequences are
kept apart from the original testbench hierarchy which helps in reusability.
Results: Watchdog timer with APB interface is designed and verification is done using UVM
verification methodology. APB Write / Read and Watchdog Timer functionalities verified. Random
stimulus is generated.
Conclusions: Successfully designed the Watchdog Timer with APB interface by using Verilog and
simulated with the UVM based Testbench.The main advantages of this method of verification are
using the virtual random coverage driven, for which the verification engineer takes minimal time
for verification in the complex design system. The tests and Verification components can be reused
in a different design which uses APB Interface.
Keywords: Verification IP, System Verilog, UVM, SOC, APB protocol, Watchdog Timer.
1. Introduction
The fast development in Computer-Aided
Design (CAD) and CMOS technology has
helped in designing complex VLSI CHIPs.
With this developed many usages in
Intellectual Property Cores (IP). System on
2. JOURNAL OF ALGEBRAIC STATISTICS
Volume 13, No. 3, 2022, p. 5343-5350
https://publishoa.com
ISSN: 1309-3452
5344
CHIP – SOC design become more popular
with the help of IP core combination. SOC
Designs use BUS Protocols for
synchronization and data transfer. Hence, the
Design Functional Verification process is so
important due to the reusability of IP cores in
complex design (as the design requires 30 %
of the Complete Development time and 70%
for verification). To reduce the time in
verification, Verification engineers have been
developing verification methodologies for
checking the functionality of the module by
using an integrated verification environment
and it is referred to as IP Verification.
Generally, Advanced Peripheral Bus,
Advanced high-performance Bus, and
Advanced Extensible Interface or also called
APB, AHB, and AXI respectively bus
protocols are used in the modern SoC
development by the current industry.
Compare with protocols APB protocol
consumes limited power and low chip area. In
this environment, the proper test cases are
implemented in this project for the operation
of DUV (Design under verification).
Watchdog timer is used in the SOC to detect
the unresponsive system. In this case
watchdog timer resets the system which
brings the system to normal operating
condition.
This paper presents the development of
Watchdog timer with APB interface using
Verilog and the Verification of the same using
the UVM. Further in this chapter APB
Overview, Watchdog Timer Overview and
UVM overview is provided to understand
more about the Design and verification
methodology.
APB Overview: APB is one of the Bus
protocols of Advanced Microcontroller Bus
Architecture (AMBA) Protocols. APB Bus
protocol has low complexity, consumes less
power and it’s a low-cost interface. APB
Protocol is a non-pipelined. APB protocol is
used to Connect low – bandwidth peripherals
whose functionality is not depending on the
speed of operation. APB is mostly used to
connect SOC with peripherals for configuring
the functionality of the peripheral. APB
Peripherals can be interfaced with AHB and
AXI protocols using the AHB-APB or AXI-
APB Bridges respectively.
Fig 1. APB Interface Signals
3. JOURNAL OF ALGEBRAIC STATISTICS
Volume 13, No. 3, 2022, p. 5343-5350
https://publishoa.com
ISSN: 1309-3452
5345
Here is a diagram of a System or SOC
System. In this diagram AHB is the High-
Speed Bus and APB is relatively low speed
Bus. At High level, the high-performance
components are connected with the core using
AHB bus. The Low Bandwidth peripherals
are connected to the Core using APB through
Bridge. The ARM processor is the Core of the
system provided in the block diagram. The
low bandwidth peripherals like UART and
Timer are connected to the system bus
through the AHB – APB Bridge using the
APB (Peripheral bus). Here, the Bridge is a
AHB Slave to the Core AHB Master. The
other components like High-bandwidth on-
chip RAM, and High-bandwidth Memory
Interface are connected to the Core by AHB
(System Bus). And it also acts as the APB
Master corresponding to remaining low-
bandwidth external peripherals.
Fig 2. Block Diagram of a Typical System
or SOC System
In the above diagram there are no components
which generates the APB transfers. AHB –
APB Bridge converts the AHB access to APB
access and acts as the APB Master in the
above system.
Watchdog Timer: A Watchdog Timer is a
Hardware component implemented in System
to automatically without human intervention
detect the software failures and reset the
processor if there are any failures. A
Watchdog Timer in based on a counter with a
pre-defined optimum initial value and is count
down to zero value. The processor needs to
reset the counter periodically indicating
Processor is working fine. If the processor is
not able to reset, it and the counter reaches to
value zero then the software is presumed to be
malfunctioning and processor is reset.
Typical Watchdog Timer Setup is Provided
Below
Fig 3. Typical Watchdog Timer Setup
Applications of Watchdog Timers
are:Watchdog Timer are commonly
implemented in Embedded System or SOCs
controlled equipment where humans cannot
easily control the equipment or unable to react
to the faults in a timely manner. In Such
Systems Watchdog Timers are implemented
to invoke reset of the system as the computer
cannot depend on the human to take reactive
step.
4. JOURNAL OF ALGEBRAIC STATISTICS
Volume 13, No. 3, 2022, p. 5343-5350
https://publishoa.com
ISSN: 1309-3452
5346
The Safety Compliant System needs to
implement Watchdog timer to detect the
System Faults.
UVM:A Universal Verification Methodology
is a standardized methodology released by
Accellera with the support from multiple
vendors like Aldec (Riviera-PRO), Cadence
(NCSim), Mentor Graphics (which is now
Siemens EDA- Questa), Synopsys (VCS),
Xilinx Simulator (XSIM) to create an
automated verification environment. It
consists of an open-source SV-based class
library from which a functional testbench is
built for any design file written in C, VHDL,
Verilog, or SystemVerilog.
This methodology specifies a set of
verification guidelines to be followed when a
testbench is created. By following its
approach, any verification engineer can
develop verification components that are
uniform and can be portable from project to
project. This will reduce the effort of
developing an unfamiliar environment and
can easily modify any components as per
requirement.
Advantages of UVM:
• It separates the test environment from the
testbench. This way the environment is much
more reusable.
• It follows a standard approach to developing
a testbench which makes the verification flow
stable.
• Supports transaction-level communications
using TLM connections.
• It uses a set of uvm_root library classes
which can customize any objects and
components according to a particular
requirement. They are Report messaging,
Factory methods, End of test methods, RAL,
etc.
• All advantages mentioned above will result
in reduced coding work.
Fig 4. UVM Environment
UVM testbench consists of the following
components and objects:
• Test: The class derived from uvm_test will
represent a test case that would check the
various features of DUT (design-under-test).
• Environment: The uvm_env would act as a
model and should control the test
5. JOURNAL OF ALGEBRAIC STATISTICS
Volume 13, No. 3, 2022, p. 5343-5350
https://publishoa.com
ISSN: 1309-3452
5347
environment. It consists of all verification
components and objects created in the test. It
is connected to DUT through a virtual
interface.
• Agent: An uvm_agent will encapsulate
everything which is needed to generate the
stimulus and monitor any logical connection
with DUT. It is the wrapper intended for the
driver, monitor, and sequencer. They have
their functionality meaning they can be
configured to be active or passive.
• Driver: The uvm_driver will pull the
transactions from the sequencer and drive
them to the DUT via a physical interface.
• Sequence Item: It represents the basic user-
defined transactions within a sequence. When
sequences are executed, they generate one or
more sequence items that the sequencer
passes to the driver’s boundary. Typically,
uvm_sequence is derived from
uvm_sequence_item which generates
transactions that are required to drive to the
DUT.
• Sequencer: The uvm_sequencer will
randomize sequence items and send them to
the driver via TLM exports. They can be used
for both sequencers and virtual sequencers.
• Monitor: Uvm_monitor is used to detect
transactions on a physical interface and to
make those transactions available to other
parts of the testbench through an analysis
port.
• Interface: The interface would help the
testbench to communicate with the DUT.
• Scoreboard: The uvm_scoreboard will
compare the expected values and the actual
values of various inputs of the DUT signals. It
would check if any transactions appeared or
not from the DUT outputs.
• Coverage: The coverage information is
collected from the monitor via the analysis
port. This component ensures that all tested
and untested scenarios of the design are
covered based on the design specification.
2. Objectives
Design the Watchdog Timer with APB
Interface using the Verilog Hardware
Description Language and Verify the design
using the Hardware Verification Language -
System Verilog based UVM. The Verification
components developed can be reused similar
IP having APB Interfaces. Generate Stimulus
to cover all the functionality of the design.
3. Methods
The DUT – Watchdog Timer with APB
Interface is designed with one top Module
which encapsulates Watchdog Timer Module
and APB Interface Module. The Timer Value
for the Watchdog timer is configurable via the
APB Interface.
6. JOURNAL OF ALGEBRAIC STATISTICS
Volume 13, No. 3, 2022, p. 5343-5350
https://publishoa.com
ISSN: 1309-3452
5348
Fig 5. DUT – Watchdog timer with APB
Interface
Watchdog timer takes the input of
timer_value and activity_in and gives
interrupt and reset outputs. The watchdog
timer runs on the pclk, and the timer in the
watchdog timer block is reset with every
activity_in. If there is no activity_in before
the timer times out (timer_value) the interrupt
and reset are set which signals the system is
not working as expected as there is no
activity_in.
Testbench
Fig 6. Block Diagram of UVM Testbench
with DUT
The testbench is developed using UVM
methodology.
The UVM Components – UVM Environment,
UVM Agent, UVM Driver, UVM Sequencer,
UVM Test, UVM Sequence, UVM Monitor
and UVM Scoreboard which can be reused
for similar APB Interface Module are
developed to verify the Design.
Sequences are developed to generate 1.
Random Stimulus, 2. APB Read and 3. Write
Functionalities and 4. Watchdog Timer
Functionalities. The Design under Test and
Testbench are simulated using Synopsys VCS
Simulator provided by EDA Playground.
4. Results
In this verification process, UVM is used to
verify the environmental test components of
the system. Watchdog timer with APB
interface is designed and verification is done
using UVM verification methodology. The
components contain UVM ENVironment,
UVM Agent, UVM Driver, UVM Sequencer,
UVM test, and the main test cases. By
internet sources, the EDA tool is used to
design and verify the protocol. During
verification, we must verify all APB READ
and WRITE architectures and the Watchdog
Timer Functionality.
a. Random Verification
All the signal inputs are randomized using the
System Verilog Random constructs. The write
happens only when the PSEL, PENABLE,
7. JOURNAL OF ALGEBRAIC STATISTICS
Volume 13, No. 3, 2022, p. 5343-5350
https://publishoa.com
ISSN: 1309-3452
5349
and PWRITE are High and the Read happens
when the PSEL, and PENABLE are High and
PWRITE is Low
Fig 7. Random Simulation
b. APB Write and Read Verification
The test is written to generate only the write
accesses and only the Address and Data are
randomized. The register is written only when
its address is provided in the address lines.
Fig 8. APB Write Simulation
The test is written to generate only the read
accesses and only the Address and Data are
randomized. The register is Read only when
its address is provided in the address lines.
Fig 9. APB Read Simulation
c. Watchdog Timer Functionality Verification
The test is written where activity_in is
delayed for the verification of the Watchdog
timer functionality. Due to delayed
activity_in, the timer expires, and Interrupt
and Reset is asserted.
Fig 10. Watchdog Timer Functional
Simulation.
5. Discussion
In this paper, successfully designed the
Watchdog Timer with APB interface by using
Verilog and generated the simulation. Design
is verified by creating a test bench verification
environment using UVM Verification
Methodology and its components like
interface, environment, driver, agent,
sequencer, sequence, sequence item, etc., and
DUV were implemented with help of Classes.
Finally, the Synopsys VCS simulator is used
to simulate the Design and Testbench. The
main advantages of this method of
verification are using the virtual random
coverage driven, for which the verification
engineer takes minimal time for verification
in the complex design system. The tests and
Verification components can be reused in a
different design which uses APB Interface.
8. JOURNAL OF ALGEBRAIC STATISTICS
Volume 13, No. 3, 2022, p. 5343-5350
https://publishoa.com
ISSN: 1309-3452
5350
Refrences
[1]. ARM, “AMBA Specification
Overview”, http://www.arm.com/. .
[2]. APB Example-AMBA system,
Technical reference manual, ARM
Inc.,1999.
[3]. Akhilesh Kumar, Richa Sinha,
“Design and Verification analysis of
APB3 Protocol with Coverage,”
IJAET, Nov 2011.
[4]. SanthiPriyaSarekokku, K. Rajasekhar,
“Design and Implementation of APB
Bridge based on AMBA AXI 4.0,”
IJERT, Vol.1, Issue 9, Nov 2012.
[5]. VERILOG Reference Manual,
http://www.accellera.com
[6]. Samir Palnitkar, “Verilog HDL: A
Guide to Digital Design and Synthesis
(2nd Edition), Pearson, 2008.
[7]. C. Spear, SystemVerilog for
Verification, Second Edition: A Guide
to Learning the Testbench Language
Features, 2nd ed. Springer Publishing
Company, Incorporated, 2008.
[8]. Accellera, UVM 1.1 Class Reference,
2011.
[9]. J. Bromley " If SystemVerilog Is So
Good, Why Do We Need the UVM?
Sharing Responsibilities between
Libraries and the Core Language"
FDL, 2013.
[10]. Murphy, Niall. “Watchdog Timers,”
Embedded Systems Programming,
November 2000, p. 112.
[11]. Santic, John S. “Watchdog Timer
Techniques,” Embedded Systems
Programming, April 1995, p. 58.
[12]. UVM Verification Testbench Example
https://www.chipverify.com/uvm/uvm
-verification-testbench-example