SlideShare a Scribd company logo
1 of 9
Practica #7 – Memorias 115 de Noviembre de 2017
TECNOLÓGICO NACIONAL DE
MÉXICO
Instituto Tecnológico de matamoros
Diseño digital con VHDL
Memorias
Ing. Electrónica
Practica #7
Nombre(s) de alumno(s): Núm. de control:
Joel ivan teran ramirez ……………………………………………………15260142
Santiago pablo Alberto...…………………………………………………..15260092
Jesus Alberto medrano Ortiz …………...…………………………………15260147
Edgar Oziel Olvera rivera……….…………….…………………………...15260128
Profesor: Arturo Rdz. Casas
H. MATAMOROS,TAM. 15 de Noviembre 2017
Practica #7 – Memorias 215 de Noviembre de 2017
Objetivos:
·. El objetivo de la realización de esta práctica es implementar un código vhdl con el cual
se pueda controlar la salida de la suma de dos memorias diseñadas.
Material:
- ISE WebPack
- Board BASYS2.
Desarrollo
1.- Escriba un codigo en VHDL que desarrolle la siguiente funcion, los datos de ROM1 y
ROM2 son sumados y el resultado es almacenado en la memoria RAM. Primero se deben
de disenar cada modulo y despues todos los modulos usando las tecnicas de diseno de
“port map”.
2. En base a lo anterior. Implementar el siguiente código:
Top
libraryIEEE;
use IEEE.STD_LOGIC_1164.ALL;
entityTopis
Port ( Clock: in STD_LOGIC;
Addres: in STD_LOGIC_VECTOR(1 downto0);
Upload: in STD_LOGIC;
Add : in STD_LOGIC;
Practica #7 – Memorias 315 de Noviembre de 2017
Show: in STD_LOGIC;
Data_Out : out STD_LOGIC_VECTOR(7 downto0));
endTop;
architecture Behavioral of Topis
componentROMis
port(
Clk : instd_logic;
Rd : instd_logic;
Addr : in std_logic_vector(1downto0);
S : outstd_logic_vector(7downto0));
endcomponent;
componentRAMis
port( Clk,Wt,Rd :instd_logic;
Addr: in std_logic_vector(1downto0);
S: out std_logic_vector(7downto0);
E: in std_logic_vector(7downto0));
endcomponent;
componentSUMis
Port ( A : in STD_LOGIC_VECTOR (7 downto0);
B : in STD_LOGIC_VECTOR (7 downto0);
Upload : in STD_LOGIC;
Add : in STD_LOGIC;
X : out STD_LOGIC_VECTOR (7 downto0));
endcomponent;
Signal a,b, x : STD_LOGIC_VECTOR(7 downto0);
begin
U1 : ROM
port map(
Clk=> Clock,
Practica #7 – Memorias 415 de Noviembre de 2017
Rd => Upload,
Addr=> Addres,
S => a);
U2 : ROM
port map(
Clk=> Clock,
Rd => Upload,
Addr=> Addres,
S => b);
U3 : SUM
port map(
A => a,
B => b,
Upload=> Upload,
Add=> Add,
X => x );
U4 : RAM
port map(
Clk=> Clock,
Wt => Add,
Rd => Show,
Addr=> Addres,
S => Data_Out ,
E => x );
endBehavioral;
U1 ROM
libraryIEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
Practica #7 – Memorias 515 de Noviembre de 2017
use ieee.std_logic_unsigned.all;
entityROMis
port(
Clk : instd_logic;
Rd : instd_logic;
Addr : in std_logic_vector(1downto0);
S : outstd_logic_vector(7downto0));
endROM;
architecture Behavioral of ROMis
type ROM_Array is array (0 to 3)of std_logic_vector(7downto0);
constantContent:ROM_Array := (
0 => "00000001", -- value inROMat location0H
1 => "00000010", -- value inROMat location1H
2 => "00000011", -- value inROMat location2H
3 => "00000100", -- value inROM at location3H
OTHERS => "11111111");
begin
process(Clk)--,Read,Address)
begin
if( Clk'eventandClk= '0' ) then
if( Rd= '1' ) then
S <= Content(conv_integer(Addr));
else
S <= "ZZZZZZZZ";
endif;
endif;
endprocess;
endBehavioral;
Practica #7 – Memorias 615 de Noviembre de 2017
U2 ROM
libraryIEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entityROMis
port(
Clk : instd_logic;
Rd : instd_logic;
Addr : in std_logic_vector(1downto0);
S : outstd_logic_vector(7downto0));
endROM;
architecture Behavioral of ROMis
type ROM_Array is array (0 to 3)of std_logic_vector(7downto0);
constantContent:ROM_Array := (
0 => "00000001", -- value inROMat location0H
1 => "00000010", -- value inROMat location1H
2 => "00000011", -- value inROMat location2H
3 => "00000100", -- value inROM at location3H
OTHERS => "11111111");
begin
process(Clk)--,Read,Address)
begin
if( Clk'eventandClk= '0' ) then
if( Rd= '1' ) then
S <= Content(conv_integer(Addr));
else
S <= "ZZZZZZZZ";
endif;
Practica #7 – Memorias 715 de Noviembre de 2017
endif;
endprocess;
endBehavioral;
SUM
libraryIEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entitySUMis
Port ( A : in STD_LOGIC_VECTOR (7 downto0);
B : in STD_LOGIC_VECTOR(7 downto0);
Upload: in STD_LOGIC;
Add: in STD_LOGIC;
X : out STD_LOGIC_VECTOR(7 downto0));
endSUM;
architecture Behavioral of SUMis
signal Num1,Num2: std_logic_vector(7downto0);
begin
process(Upload,Add)
begin
if Upload= '1' then
Num1 <= A;
Num2 <= B;
elsif Add='1' then
X <= ( Num1+ Num2);
endif;
endprocess;
endBehavioral;
Practica #7 – Memorias 815 de Noviembre de 2017
RAM
libraryIEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entityRAMis
port( Clk,Wt,Rd :instd_logic;
Addr: in std_logic_vector(1downto0);
S: out std_logic_vector(7downto0);
E: in std_logic_vector(7downto0));
endRAM;
architecture Behavioral of RAMis
type ram_type isarray (0 to 3) of std_logic_vector(7downto0);
signal tmp_ram:ram_type;
begin
process(Clk)
begin
if (Clk'eventandClk='1') then
if Wt='1' then
tmp_ram(conv_integer(Addr)) <=E; --write
s <= "ZZZZZZZZ";
elsif Rd= '1' then
s <= tmp_ram(conv_integer(Addr));
else
s<= "ZZZZZZZZ";
endif;
endif;
endprocess;
endBehavioral;
Practica #7 – Memorias 915 de Noviembre de 2017
UCF
NET "Clock"LOC = "B8";
NET "Addres<0>"LOC = "P11";
NET "Addres<1>"LOC = "L3";
NET "Upload"LOC = "N3";
NET "Add"LOC = "E2";
NET "Show"LOC = "F3";
NET "Data_Out<0>" LOC = "M5";
NET "Data_Out<1>" LOC = "M11";
NET "Data_Out<2>" LOC = "P7";
NET "Data_Out<3>" LOC = "P6";
NET "Data_Out<4>" LOC = "N5";
NET "Data_Out<5>" LOC = "N4";
NET "Data_Out<6>" LOC = "P4";
NET "Data_Out<7>" LOC = "G1";
NET "Upload"CLOCK_DEDICATED_ROUTE = FALSE;
3. Implemente el código VHDL en el board Basys 2.
Análisis de resultados y conclusiones
Se concluyó de forma correcta la práctica desarrollando nuevas formas de poner en
práctica los conocimientos adquiridos al igual de los diferentes usos que se le pueden dar
al programador BASYS 2 por medio de memorias.

More Related Content

What's hot

Programmation pic 16F877
Programmation pic 16F877Programmation pic 16F877
Programmation pic 16F877Mouna Souissi
 
Travel management
Travel managementTravel management
Travel management1Parimal2
 
Powered by Python - PyCon Germany 2016
Powered by Python - PyCon Germany 2016Powered by Python - PyCon Germany 2016
Powered by Python - PyCon Germany 2016Steffen Wenz
 
Tai lieu ky thuat lap trinh
Tai lieu ky thuat lap trinhTai lieu ky thuat lap trinh
Tai lieu ky thuat lap trinhHồ Trường
 
C Programming :- An Example
C Programming :- An Example C Programming :- An Example
C Programming :- An Example Atit Gaonkar
 
Cluj.py Meetup: Extending Python in C
Cluj.py Meetup: Extending Python in CCluj.py Meetup: Extending Python in C
Cluj.py Meetup: Extending Python in CSteffen Wenz
 
Certiface e a tecnologia Intel no combate a fraude.
Certiface e a tecnologia Intel no combate a fraude.Certiface e a tecnologia Intel no combate a fraude.
Certiface e a tecnologia Intel no combate a fraude.Alessandro Faria
 
Project_Euler_No_104_Pandigital_Fibonacci_ends
Project_Euler_No_104_Pandigital_Fibonacci_endsProject_Euler_No_104_Pandigital_Fibonacci_ends
Project_Euler_No_104_Pandigital_Fibonacci_ends? ?
 
Efficient SIMD Vectorization for Hashing in OpenCL
Efficient SIMD Vectorization for Hashing in OpenCLEfficient SIMD Vectorization for Hashing in OpenCL
Efficient SIMD Vectorization for Hashing in OpenCLJonas Traub
 
Oops in c++
Oops in c++Oops in c++
Oops in c++DravidSh
 
Самые вкусные баги из игрового кода: как ошибаются наши коллеги-программисты ...
Самые вкусные баги из игрового кода: как ошибаются наши коллеги-программисты ...Самые вкусные баги из игрового кода: как ошибаются наши коллеги-программисты ...
Самые вкусные баги из игрового кода: как ошибаются наши коллеги-программисты ...DevGAMM Conference
 

What's hot (20)

Programmation pic 16F877
Programmation pic 16F877Programmation pic 16F877
Programmation pic 16F877
 
Travel management
Travel managementTravel management
Travel management
 
Der perfekte 12c trigger
Der perfekte 12c triggerDer perfekte 12c trigger
Der perfekte 12c trigger
 
Powered by Python - PyCon Germany 2016
Powered by Python - PyCon Germany 2016Powered by Python - PyCon Germany 2016
Powered by Python - PyCon Germany 2016
 
Tai lieu ky thuat lap trinh
Tai lieu ky thuat lap trinhTai lieu ky thuat lap trinh
Tai lieu ky thuat lap trinh
 
C Programming :- An Example
C Programming :- An Example C Programming :- An Example
C Programming :- An Example
 
Zone IDA Proc
Zone IDA ProcZone IDA Proc
Zone IDA Proc
 
Debugging TV Frame 0x0C
Debugging TV Frame 0x0CDebugging TV Frame 0x0C
Debugging TV Frame 0x0C
 
Cluj.py Meetup: Extending Python in C
Cluj.py Meetup: Extending Python in CCluj.py Meetup: Extending Python in C
Cluj.py Meetup: Extending Python in C
 
Fpga creating counter with external clock
Fpga   creating counter with external clockFpga   creating counter with external clock
Fpga creating counter with external clock
 
Certiface e a tecnologia Intel no combate a fraude.
Certiface e a tecnologia Intel no combate a fraude.Certiface e a tecnologia Intel no combate a fraude.
Certiface e a tecnologia Intel no combate a fraude.
 
Project_Euler_No_104_Pandigital_Fibonacci_ends
Project_Euler_No_104_Pandigital_Fibonacci_endsProject_Euler_No_104_Pandigital_Fibonacci_ends
Project_Euler_No_104_Pandigital_Fibonacci_ends
 
Efficient SIMD Vectorization for Hashing in OpenCL
Efficient SIMD Vectorization for Hashing in OpenCLEfficient SIMD Vectorization for Hashing in OpenCL
Efficient SIMD Vectorization for Hashing in OpenCL
 
3
33
3
 
Oops in c++
Oops in c++Oops in c++
Oops in c++
 
Modern c++
Modern c++Modern c++
Modern c++
 
Mintz q207
Mintz q207Mintz q207
Mintz q207
 
Arp
ArpArp
Arp
 
Chat code
Chat codeChat code
Chat code
 
Самые вкусные баги из игрового кода: как ошибаются наши коллеги-программисты ...
Самые вкусные баги из игрового кода: как ошибаются наши коллеги-программисты ...Самые вкусные баги из игрового кода: как ошибаются наши коллеги-программисты ...
Самые вкусные баги из игрового кода: как ошибаются наши коллеги-программисты ...
 

Similar to Reporte de electrónica digital con VHDL: practica 7 memorias

Beyond Breakpoints: A Tour of Dynamic Analysis
Beyond Breakpoints: A Tour of Dynamic AnalysisBeyond Breakpoints: A Tour of Dynamic Analysis
Beyond Breakpoints: A Tour of Dynamic AnalysisC4Media
 
Bcsl 033 data and file structures lab s5-2
Bcsl 033 data and file structures lab s5-2Bcsl 033 data and file structures lab s5-2
Bcsl 033 data and file structures lab s5-2Dr. Loganathan R
 
How do I draw the Labview code for pneumatic cylinder(air pistion). .pdf
How do I draw the Labview code for pneumatic cylinder(air pistion). .pdfHow do I draw the Labview code for pneumatic cylinder(air pistion). .pdf
How do I draw the Labview code for pneumatic cylinder(air pistion). .pdffootstatus
 
PASSWORD DOOR LOCK SYSTEM.pptx
PASSWORD DOOR LOCK SYSTEM.pptxPASSWORD DOOR LOCK SYSTEM.pptx
PASSWORD DOOR LOCK SYSTEM.pptxsonalshitole
 
OSMC 2018 | Monitoring with Sensu 2.0 by Sean Porter
OSMC 2018 | Monitoring with Sensu 2.0 by Sean PorterOSMC 2018 | Monitoring with Sensu 2.0 by Sean Porter
OSMC 2018 | Monitoring with Sensu 2.0 by Sean PorterNETWAYS
 
리눅스 드라이버 실습 #3
리눅스 드라이버 실습 #3리눅스 드라이버 실습 #3
리눅스 드라이버 실습 #3Sangho Park
 
망고100 보드로 놀아보자 15
망고100 보드로 놀아보자 15망고100 보드로 놀아보자 15
망고100 보드로 놀아보자 15종인 전
 
EdSketch: Execution-Driven Sketching for Java
EdSketch: Execution-Driven Sketching for JavaEdSketch: Execution-Driven Sketching for Java
EdSketch: Execution-Driven Sketching for JavaLisa Hua
 
Samrt attendance system using fingerprint
Samrt attendance system using fingerprintSamrt attendance system using fingerprint
Samrt attendance system using fingerprintpraful borad
 
Digital Alarm Clock 446 project report
Digital Alarm Clock 446 project reportDigital Alarm Clock 446 project report
Digital Alarm Clock 446 project reportAkash Mhankale
 
Vhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitVhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitNikhil Sahu
 
Digital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECEDigital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECERamesh Naik Bhukya
 
2014 MIPS Progrmming for NTUIM
2014 MIPS Progrmming for NTUIM 2014 MIPS Progrmming for NTUIM
2014 MIPS Progrmming for NTUIM imetliao
 
Static analysis of C++ source code
Static analysis of C++ source codeStatic analysis of C++ source code
Static analysis of C++ source codeAndrey Karpov
 
Static analysis of C++ source code
Static analysis of C++ source codeStatic analysis of C++ source code
Static analysis of C++ source codePVS-Studio
 
digitaldesign-s20-lecture3b-fpga-afterlecture.pdf
digitaldesign-s20-lecture3b-fpga-afterlecture.pdfdigitaldesign-s20-lecture3b-fpga-afterlecture.pdf
digitaldesign-s20-lecture3b-fpga-afterlecture.pdfDuy-Hieu Bui
 
Penumbra: Automatically Identifying Failure-Relevant Inputs (ISSTA 2009)
Penumbra: Automatically Identifying Failure-Relevant Inputs (ISSTA 2009)Penumbra: Automatically Identifying Failure-Relevant Inputs (ISSTA 2009)
Penumbra: Automatically Identifying Failure-Relevant Inputs (ISSTA 2009)James Clause
 

Similar to Reporte de electrónica digital con VHDL: practica 7 memorias (20)

Reporte vhdl9
Reporte vhdl9Reporte vhdl9
Reporte vhdl9
 
Gpus graal
Gpus graalGpus graal
Gpus graal
 
Beyond Breakpoints: A Tour of Dynamic Analysis
Beyond Breakpoints: A Tour of Dynamic AnalysisBeyond Breakpoints: A Tour of Dynamic Analysis
Beyond Breakpoints: A Tour of Dynamic Analysis
 
Bcsl 033 data and file structures lab s5-2
Bcsl 033 data and file structures lab s5-2Bcsl 033 data and file structures lab s5-2
Bcsl 033 data and file structures lab s5-2
 
How do I draw the Labview code for pneumatic cylinder(air pistion). .pdf
How do I draw the Labview code for pneumatic cylinder(air pistion). .pdfHow do I draw the Labview code for pneumatic cylinder(air pistion). .pdf
How do I draw the Labview code for pneumatic cylinder(air pistion). .pdf
 
PASSWORD DOOR LOCK SYSTEM.pptx
PASSWORD DOOR LOCK SYSTEM.pptxPASSWORD DOOR LOCK SYSTEM.pptx
PASSWORD DOOR LOCK SYSTEM.pptx
 
OSMC 2018 | Monitoring with Sensu 2.0 by Sean Porter
OSMC 2018 | Monitoring with Sensu 2.0 by Sean PorterOSMC 2018 | Monitoring with Sensu 2.0 by Sean Porter
OSMC 2018 | Monitoring with Sensu 2.0 by Sean Porter
 
리눅스 드라이버 실습 #3
리눅스 드라이버 실습 #3리눅스 드라이버 실습 #3
리눅스 드라이버 실습 #3
 
망고100 보드로 놀아보자 15
망고100 보드로 놀아보자 15망고100 보드로 놀아보자 15
망고100 보드로 놀아보자 15
 
EdSketch: Execution-Driven Sketching for Java
EdSketch: Execution-Driven Sketching for JavaEdSketch: Execution-Driven Sketching for Java
EdSketch: Execution-Driven Sketching for Java
 
Samrt attendance system using fingerprint
Samrt attendance system using fingerprintSamrt attendance system using fingerprint
Samrt attendance system using fingerprint
 
Digital Alarm Clock 446 project report
Digital Alarm Clock 446 project reportDigital Alarm Clock 446 project report
Digital Alarm Clock 446 project report
 
Vhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitVhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unit
 
Digital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECEDigital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECE
 
2014 MIPS Progrmming for NTUIM
2014 MIPS Progrmming for NTUIM 2014 MIPS Progrmming for NTUIM
2014 MIPS Progrmming for NTUIM
 
Reporte vhd10
Reporte vhd10Reporte vhd10
Reporte vhd10
 
Static analysis of C++ source code
Static analysis of C++ source codeStatic analysis of C++ source code
Static analysis of C++ source code
 
Static analysis of C++ source code
Static analysis of C++ source codeStatic analysis of C++ source code
Static analysis of C++ source code
 
digitaldesign-s20-lecture3b-fpga-afterlecture.pdf
digitaldesign-s20-lecture3b-fpga-afterlecture.pdfdigitaldesign-s20-lecture3b-fpga-afterlecture.pdf
digitaldesign-s20-lecture3b-fpga-afterlecture.pdf
 
Penumbra: Automatically Identifying Failure-Relevant Inputs (ISSTA 2009)
Penumbra: Automatically Identifying Failure-Relevant Inputs (ISSTA 2009)Penumbra: Automatically Identifying Failure-Relevant Inputs (ISSTA 2009)
Penumbra: Automatically Identifying Failure-Relevant Inputs (ISSTA 2009)
 

More from SANTIAGO PABLO ALBERTO

Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaSANTIAGO PABLO ALBERTO
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez SANTIAGO PABLO ALBERTO
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio PereiraSANTIAGO PABLO ALBERTO
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...SANTIAGO PABLO ALBERTO
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1SANTIAGO PABLO ALBERTO
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTSANTIAGO PABLO ALBERTO
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...SANTIAGO PABLO ALBERTO
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...SANTIAGO PABLO ALBERTO
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...SANTIAGO PABLO ALBERTO
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...SANTIAGO PABLO ALBERTO
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...SANTIAGO PABLO ALBERTO
 

More from SANTIAGO PABLO ALBERTO (20)

secuencia electroneumática parte 1
secuencia electroneumática parte 1secuencia electroneumática parte 1
secuencia electroneumática parte 1
 
secuencia electroneumática parte 2
secuencia electroneumática parte 2secuencia electroneumática parte 2
secuencia electroneumática parte 2
 
Manual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzadaManual de teoría y practica electroneumática avanzada
Manual de teoría y practica electroneumática avanzada
 
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez Programacion de PLC basado en Rslogix 500 por Roni Domínguez
Programacion de PLC basado en Rslogix 500 por Roni Domínguez
 
Programación de microcontroladores PIC en C con Fabio Pereira
Programación de microcontroladores PIC en  C con Fabio PereiraProgramación de microcontroladores PIC en  C con Fabio Pereira
Programación de microcontroladores PIC en C con Fabio Pereira
 
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
Análisis y Diseño de Sistemas de Control Digital por Ricardo Fernandez del Bu...
 
Arduino: Arduino de cero a experto
Arduino: Arduino de cero a expertoArduino: Arduino de cero a experto
Arduino: Arduino de cero a experto
 
Fisica I
Fisica IFisica I
Fisica I
 
Quimica.pdf
Quimica.pdfQuimica.pdf
Quimica.pdf
 
Manual básico PLC OMRON
Manual básico PLC OMRON Manual básico PLC OMRON
Manual básico PLC OMRON
 
Programación de autómatas PLC OMRON CJ/CP1
Programación de  autómatas PLC OMRON CJ/CP1Programación de  autómatas PLC OMRON CJ/CP1
Programación de autómatas PLC OMRON CJ/CP1
 
Manual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMARTManual del sistema del controlador programable S7-200 SMART
Manual del sistema del controlador programable S7-200 SMART
 
Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART Catálogo de PLC S7-200 SMART
Catálogo de PLC S7-200 SMART
 
PLC: Automatismos industriales
PLC: Automatismos industrialesPLC: Automatismos industriales
PLC: Automatismos industriales
 
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
PLC: Buses industriales y de campo practicas de laboratorio por Jose Miguel R...
 
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...PLC y Electroneumática: Electricidad y Automatismo eléctrico por  Luis Miguel...
PLC y Electroneumática: Electricidad y Automatismo eléctrico por Luis Miguel...
 
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
Electrónica: Diseño y desarrollo de circuitos impresos con Kicad por Miguel P...
 
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
PLC: Diseño, construcción y control de un motor doble Dahlander(cuatro veloci...
 
PLC: Motor Dahlander
PLC: Motor DahlanderPLC: Motor Dahlander
PLC: Motor Dahlander
 
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...Electrónica digital:  Introducción a la Lógica Digital - Teoría, Problemas y ...
Electrónica digital: Introducción a la Lógica Digital - Teoría, Problemas y ...
 

Recently uploaded

power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacingjaychoudhary37
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxvipinkmenon1
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 

Recently uploaded (20)

young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacing
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptx
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 

Reporte de electrónica digital con VHDL: practica 7 memorias

  • 1. Practica #7 – Memorias 115 de Noviembre de 2017 TECNOLÓGICO NACIONAL DE MÉXICO Instituto Tecnológico de matamoros Diseño digital con VHDL Memorias Ing. Electrónica Practica #7 Nombre(s) de alumno(s): Núm. de control: Joel ivan teran ramirez ……………………………………………………15260142 Santiago pablo Alberto...…………………………………………………..15260092 Jesus Alberto medrano Ortiz …………...…………………………………15260147 Edgar Oziel Olvera rivera……….…………….…………………………...15260128 Profesor: Arturo Rdz. Casas H. MATAMOROS,TAM. 15 de Noviembre 2017
  • 2. Practica #7 – Memorias 215 de Noviembre de 2017 Objetivos: ·. El objetivo de la realización de esta práctica es implementar un código vhdl con el cual se pueda controlar la salida de la suma de dos memorias diseñadas. Material: - ISE WebPack - Board BASYS2. Desarrollo 1.- Escriba un codigo en VHDL que desarrolle la siguiente funcion, los datos de ROM1 y ROM2 son sumados y el resultado es almacenado en la memoria RAM. Primero se deben de disenar cada modulo y despues todos los modulos usando las tecnicas de diseno de “port map”. 2. En base a lo anterior. Implementar el siguiente código: Top libraryIEEE; use IEEE.STD_LOGIC_1164.ALL; entityTopis Port ( Clock: in STD_LOGIC; Addres: in STD_LOGIC_VECTOR(1 downto0); Upload: in STD_LOGIC; Add : in STD_LOGIC;
  • 3. Practica #7 – Memorias 315 de Noviembre de 2017 Show: in STD_LOGIC; Data_Out : out STD_LOGIC_VECTOR(7 downto0)); endTop; architecture Behavioral of Topis componentROMis port( Clk : instd_logic; Rd : instd_logic; Addr : in std_logic_vector(1downto0); S : outstd_logic_vector(7downto0)); endcomponent; componentRAMis port( Clk,Wt,Rd :instd_logic; Addr: in std_logic_vector(1downto0); S: out std_logic_vector(7downto0); E: in std_logic_vector(7downto0)); endcomponent; componentSUMis Port ( A : in STD_LOGIC_VECTOR (7 downto0); B : in STD_LOGIC_VECTOR (7 downto0); Upload : in STD_LOGIC; Add : in STD_LOGIC; X : out STD_LOGIC_VECTOR (7 downto0)); endcomponent; Signal a,b, x : STD_LOGIC_VECTOR(7 downto0); begin U1 : ROM port map( Clk=> Clock,
  • 4. Practica #7 – Memorias 415 de Noviembre de 2017 Rd => Upload, Addr=> Addres, S => a); U2 : ROM port map( Clk=> Clock, Rd => Upload, Addr=> Addres, S => b); U3 : SUM port map( A => a, B => b, Upload=> Upload, Add=> Add, X => x ); U4 : RAM port map( Clk=> Clock, Wt => Add, Rd => Show, Addr=> Addres, S => Data_Out , E => x ); endBehavioral; U1 ROM libraryIEEE; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all;
  • 5. Practica #7 – Memorias 515 de Noviembre de 2017 use ieee.std_logic_unsigned.all; entityROMis port( Clk : instd_logic; Rd : instd_logic; Addr : in std_logic_vector(1downto0); S : outstd_logic_vector(7downto0)); endROM; architecture Behavioral of ROMis type ROM_Array is array (0 to 3)of std_logic_vector(7downto0); constantContent:ROM_Array := ( 0 => "00000001", -- value inROMat location0H 1 => "00000010", -- value inROMat location1H 2 => "00000011", -- value inROMat location2H 3 => "00000100", -- value inROM at location3H OTHERS => "11111111"); begin process(Clk)--,Read,Address) begin if( Clk'eventandClk= '0' ) then if( Rd= '1' ) then S <= Content(conv_integer(Addr)); else S <= "ZZZZZZZZ"; endif; endif; endprocess; endBehavioral;
  • 6. Practica #7 – Memorias 615 de Noviembre de 2017 U2 ROM libraryIEEE; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entityROMis port( Clk : instd_logic; Rd : instd_logic; Addr : in std_logic_vector(1downto0); S : outstd_logic_vector(7downto0)); endROM; architecture Behavioral of ROMis type ROM_Array is array (0 to 3)of std_logic_vector(7downto0); constantContent:ROM_Array := ( 0 => "00000001", -- value inROMat location0H 1 => "00000010", -- value inROMat location1H 2 => "00000011", -- value inROMat location2H 3 => "00000100", -- value inROM at location3H OTHERS => "11111111"); begin process(Clk)--,Read,Address) begin if( Clk'eventandClk= '0' ) then if( Rd= '1' ) then S <= Content(conv_integer(Addr)); else S <= "ZZZZZZZZ"; endif;
  • 7. Practica #7 – Memorias 715 de Noviembre de 2017 endif; endprocess; endBehavioral; SUM libraryIEEE; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entitySUMis Port ( A : in STD_LOGIC_VECTOR (7 downto0); B : in STD_LOGIC_VECTOR(7 downto0); Upload: in STD_LOGIC; Add: in STD_LOGIC; X : out STD_LOGIC_VECTOR(7 downto0)); endSUM; architecture Behavioral of SUMis signal Num1,Num2: std_logic_vector(7downto0); begin process(Upload,Add) begin if Upload= '1' then Num1 <= A; Num2 <= B; elsif Add='1' then X <= ( Num1+ Num2); endif; endprocess; endBehavioral;
  • 8. Practica #7 – Memorias 815 de Noviembre de 2017 RAM libraryIEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entityRAMis port( Clk,Wt,Rd :instd_logic; Addr: in std_logic_vector(1downto0); S: out std_logic_vector(7downto0); E: in std_logic_vector(7downto0)); endRAM; architecture Behavioral of RAMis type ram_type isarray (0 to 3) of std_logic_vector(7downto0); signal tmp_ram:ram_type; begin process(Clk) begin if (Clk'eventandClk='1') then if Wt='1' then tmp_ram(conv_integer(Addr)) <=E; --write s <= "ZZZZZZZZ"; elsif Rd= '1' then s <= tmp_ram(conv_integer(Addr)); else s<= "ZZZZZZZZ"; endif; endif; endprocess; endBehavioral;
  • 9. Practica #7 – Memorias 915 de Noviembre de 2017 UCF NET "Clock"LOC = "B8"; NET "Addres<0>"LOC = "P11"; NET "Addres<1>"LOC = "L3"; NET "Upload"LOC = "N3"; NET "Add"LOC = "E2"; NET "Show"LOC = "F3"; NET "Data_Out<0>" LOC = "M5"; NET "Data_Out<1>" LOC = "M11"; NET "Data_Out<2>" LOC = "P7"; NET "Data_Out<3>" LOC = "P6"; NET "Data_Out<4>" LOC = "N5"; NET "Data_Out<5>" LOC = "N4"; NET "Data_Out<6>" LOC = "P4"; NET "Data_Out<7>" LOC = "G1"; NET "Upload"CLOCK_DEDICATED_ROUTE = FALSE; 3. Implemente el código VHDL en el board Basys 2. Análisis de resultados y conclusiones Se concluyó de forma correcta la práctica desarrollando nuevas formas de poner en práctica los conocimientos adquiridos al igual de los diferentes usos que se le pueden dar al programador BASYS 2 por medio de memorias.