SlideShare a Scribd company logo
1 of 4
Download to read offline
INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY
VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303
174
Techniques for Minimizing Power Consumption in
DFT during Scan Test Activity
Mathavi.A1
Hema.B2
Kalasalingam Institute of Technology,
PG Student of ECE
Kalasalingam Institute of Technology,
Asst. prof of ECE
mathaviathimoolam@gmail.com hema.shivam@gmail.com
Abstract--- Lessening in test force is vital to enhance battery lifetime in versatile electronic gadgets utilizing intermittent
individual test. It's to expand dependability of testing, and to lessen test expense. A conservative test set with exceedingly
viable examples, every identifying different issues, is attractive for lower test expenses. Such examples build exchanging
action amid dispatch and catch operations. In this paper, we exhibit a novel circuit strategy to essentially dispense with test
force dissemination in combinational logic by veiling sign moves at the logic inputs amid sweep moving is exhibited. We
execute the concealing impact by embeddings an additional supply gating transistor in the supply to ground way for the first-
level doors at the yields of the output flip-flops. The gating transistor supply is killed in the output in mode, basically gating
the supply. Further, DFT punishments are decreased by embracing specific trigger Scan structural planning. This building
design diminishes exchanging action in the circuit-under-test (CUT) and builds the clock recurrence of the checking
methodology. The assistant chain moves in the contrast between sequential test vectors and just the obliged moves (alluded to
as trigger information) are connected. Power necessities are significantly decreased by the utilization of a two-stage heuristic
technique. Utilizing ISCAS 89 benchmark circuits, this adequacy is to enhance SoC test measures (power, time, and
information volume) is tentatively assessed and affirmed.
Index Terms---Circuit-under-test (CUT), First-level gates, Masking effect, Power dissipation, Selective trigger.
1. INTRODUCTION
INTELLECTUAL property (IP) centers are generally
utilized for planning a framework on-chip (SoC). Despite the
fact that IP centers can help to decrease the outline process
duration, regardless they posture numerous difficulties when
testing is considered. The precomputed test examples that are
given by center merchants must be connected to every center
inside the force requirements of the entire SoC. As a
framework integrator may utilize a center in diverse stages
with different test instruments, the test instrument of the
center must consider issues identified with information
volume, application time, and force utilization amid test.
Besides, different models, (for example, for deferral issues)
must be considered to enhance the general test quality.
Power dissemination amid test mode can be altogether higher
than that amid useful mode because of taking after reasons
[5], the outline under test (DUT) has a hardware implanted to
diminish the test-multifaceted nature is regularly sit out of
gear amid the typical operations, however utilized widely as
a part of the testing mode, the test proficiency demonstrates a
high relationship with the switch rate, in a circuit, parallel
testing is much of the time utilized to lessen application time.
Since the data vectors amid utilitarian mode are typically
emphatically related contrasted with factually free
continuous information
vectors amid testing. Swarup Bhunia [1] demonstrated that
embeddings blocking logic into the boost way of the output
flip-lemon to
anticipate proliferation of output expansive influence to logic
doors offers a straightforward and powerful answer for
altogether diminish test force, autonomous of test set.
Mohammad Hosseinabady [2] demonstrated that the output
structural planning uses an activating (empowering) tie
notwithstanding the information registers. Moreover,
activating affix equipment is intended to exploit comparable
neighboring information into the information enrolls, the
activating chain chooses where an information flip-flop must
flip or hold its old worth. Alongside test reformatting
systems, this structural planning can decrease test time and
force. It can likewise decrease the information volume by
empowering the utilization of pressure calculation on its
reformatted information. It is material to postpone
shortcoming testing. Yet, information in this building design
is checked at a higher clock recurrence. Arnab Sinha [3]
demonstrated that to minimize the force by the utilization of
a two-stage heuristic technique, which can be abused by any
chip-format project amid the position and steering of sweep
cells. At-pace or significantly speedier than-at-rate testing
propose design era under low exchanging action limitations
may prompt misfortune in test quality and/or example check
swelling, Samah Mohamed [4] demonstrated that outline for
testability (DFT) support for empowering the utilization of
an arrangement of examples enhanced for expense and
INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY
VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303
175
quality as seems to be, yet in a low influence way.
Apportioning the outline and testing one parcel at once has
been proposed to diminish dispatch and catch force amid
inherent individual test (BIST) [6], LOS [7] and LOC [8]. In
broadside testing [9], it needs two back to back catch cycles,
called the dispatch cycle and the catch cycle. Some effective
techniques, which decrease the catch control in stuck-at issue
testing, neglect to diminish test power in both dispatch cycle
and catch cycle for broadside testing. In the event that one
strategy tries to lessen test power under broadside testing, it
is sensible for it to identify all the testable deficiencies of
broadside testing, as [10][11][12]. Flaw scope can be
enhanced with the force diminishment plots in [13]. Wang,
al. proposed programmed test example era strategy to update
test vectors for decreasing force scattering amid sweep
testing [14]. With their programmed test example era
(ATPG), repetitive moves in combinational logic can be
diminished however not killed. In [15], an ATPG procedure
that lessens exchanging action (amid testing of consecutive
circuits with full-sweep) has been introduced. The tests
produced by this ATPG can be utilized for an at-rate testing
of chips and has scarcely passed on with any danger of harm
because of unnecessary warmth.
Whatever remains of the paper is composed as takes after:
Section II delineates the proposed piece logic system; sweep
chain reordering and a specific trigger for decrease move
control and averts excess exchanging in combinational logic.
Segment III presents exploratory results as far as territory,
defer and force for an ISCAS89 benchmark circuit. Segment
IV presents related works. Area V closes the paper.
2. REORDERING TEST VECTORS AND SCAN
CHAIN FLIPFLOPS
The element power dissemination in the combinational
circuit can be lessened by bringing down the action of the
circuit. The test mode normally disperses more power than
the typical mode, particularly if a sweep component is
utilized. Amid the information examine in process, the
distinction between two contiguous bits travels through the
output way because of the movement operation; numerous
drifting moves are then connected to the CUT. Numerous
methods are proposed to lessen the quantity of these moves
for force dispersal administration. These systems can be
classified as takes after:
• Transition methods to decrease the distinction
between two sequential test vecto Transition techniques to
reduce the difference between two consecutive test vectors
 Transition techniques to reduce the effect of the
difference between two consecutive bits in the scan
chain
 Transition techniques by partitioning to reduce the
effective length of the scan chain
 Techniques to block transitions in a circuit
 Scan reordering techniques and
 Integrated techniques that use two or more of the
aforementioned techniques.
2.1 Block Logic Method for prevents redundant
switching in combinational circuits
Embeddings blocking logic into the boost way of
the sweep flip-flops [as demonstrated in Fig.1(a)] to
anticipate engendering of output progressively outstretching
influence to logic doors offers a straightforward and
successful answer for altogether decrease test force,
autonomous of test set. Blocking doors (of sort NOR or
NAND) are controlled by the test empower signal [as in Fig.
1(b)], and the jolt ways stay settled at either logic "0" or "1"
amid the whole sweep shift
operation.
Fig. 1 (a). Scan architecture with blocking circuitry
Fig. 1(b). Blocking Logic
2.2 Scan chain reorder for minimizing transition
between flip-flops
Consider the ISCAS89 benchmark circuit with
three flip-flops. We consider eight tests –cases and their
corresponding responses. We construct an acyclic graph
from the test-sequence and their respective responses. The
vertices in the graph represent the flip-flops and the edges
represent the transitions between each pair of flip-flops. The
edge–weights represent the total bit-difference between them
as obtained from the table. The greedy algorithm starts from
any scan cell which is ff1 in our case, as the choice of initial
state is not so crucial when the number of scan cells in the
graph is considerably high [16]. The final series in this case
BL
D Q
SFF
D Q
SFF
To comb. gates
To comb. gates
TC~
TC
~
CL
K
CL
K
INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY
VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303
176
is ff1-ff3-ff2. Cutting the cyclic graph at each edge will give
us the scan-in and scan-out flip-flops. Each cutting solution
differs in number of transitions and propagation and the one
with least number of transitions will give the optimal
solution to our problem.
Fig. 2. Test sequence and Weighted Graph
2.3 Selective trigger scan architecture for reduce
transition between test vectors
We begin clarification of our proposed building design
with a straightforward sample. Give us a chance to expect
that V1 in Fig.3a is a current test vector in an output chain
and V2 is the following test vector that must be moved.
Looking at V1 and V2 moves (Fig.3a), there are just three
distinctions in their bits that are called vital moves. If we
somehow managed to utilize a standard output chain and
movement V2 into the sweep chain in eight test tickers, with
every movement, moves indicated in Fig.3b would happen.
Case in point, moving the furthest right 1 of V2 into the
sweep chain causes five moves in the eight output flip-flops.
Through and through, moving V2 would bring about 32
moves that are called pointless moves. Then again, parallel
stacking V2 specifically into our building design disposes of
superfluous moves on the info of a CUT. Hence, our
scan architecture should eliminate the unnecessary
transitions. In addition, the following features should be
considered for the proposed scan architecture and DFT
method:
 Scan architecture should not add extra inputs
compared to a conventional scan approach.
 A DFT approach must add no delay to the normal
operation of the circuit.
The proposed architecture, shown in Fig. 4, serves
two purposes. One is to reduce the activity at the data outputs
and the second is to facilitate test data compression. As
shown in Fig. 4, the NOR gate compare the previous cell and
next cell test vector, if the value will be same again the
previous value saved into the TR. If the value will be differ
the next cell value saved into TR.
Fig. 3. (a). Transitions between 2 test vectors. (b). Unnecessary
transitions in scan architecture
Fig. 4. Scan cell structure of the proposed architecture
3. EXPERIMENTAL RESULTS
We assess our configuration utilizing modelsim.
We recreate an ISCAS89 benchmark circuits and acquired
power, zone and time. We pick piece logic, output chain
reordering and specific trigger for trial assessment. Fig.5.
Speaks to, the reenactment consequence of square logic,
sweep chain reordering and particular trigger. Particular
trigger diminished number of move between test vectors.
Piece logic averts excess information move into
combinational circuits. Sweep chain reordering diminished
power through revise the output cells. Fig.6. Demonstrates
the force report of piece logic, sweep chain reordering and
particular trigger. The aggregate force utilization is 123
MHz. The flip-failure check is decreased. Along these lines,
the zone overhead is decreased. Table.1. Demonstrates the
static force andareacomparison.Fig.5. The simulation result of
proposed architecture
Fig. 6. Power report for proposed architecture
FF1 FF2 FF3
V1 1 0 0
R1 0 1 0
V2 0 1 0
R2 0 0 1
V3 1 1 1
R3 0 1 1
V4 1 0 1
R4 0 1 0
INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY
VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303
177
TABLE.1. POWER AND AREA COMPARISON
ANALYSIS
Static(MHz) Gate
Count
Mixed At-Speed
Testing
131 268
Selective Trigger 123 142
4. RELATED WORK
Scan cell testing has been extensively used to
increase fault coverage in the CUT. The proposed
architecture reduces number transitions between scan cells
and test vectors. So, the power consumption in the scan cell
testing has been reduced.
5. CONCLUSION
In this paper, square logic, output chain reordering
and a particular trigger are proposed for force minimization.
At moving operation excess information moved into the
combinational circuit. Particular trigger diminishes the
number moves between test vectors to utilizing NOR door.
Sweep chain reordering revamps the output cells for lessen
number of moves between output cells. Both of these
techniques, used to minimize the force and region contrasted
with the current blended at-rate testing. From there on, the
static force is lessened by 6.1% to 11% and the zone
overhead diminished by 47% contrasted with Mixed At-
Speed testing with slight addition in element power.
REFERENCE
[1] B.Swarup and M.Saibal, “Low-Power Scan Design Using
First-Level Supply Gating,” IEEE Trans. VLSI systems,
vol.13, no.3,pp. 384-395 March 2005.
[2] H.Mohammad, S.Shervin, L.Fabrizio and
N.Zainalabedin, “A Selective Trigger Scan Architecture
for VLSI Testing,” IEEE Trans.Computers, vol.57, no.3,
pp.316-327, March 2008.
[3] S.Arnab, D.Debrup and S.Indranil,”Power Minimization
by Scanchain Reordering,” IEEE VLSI Test
Symp.,pp.35-42,2000.
[4] M.Samah and S.Ozgur,”Design for Testability Support
for Launch and Capture Power Reduction in Launch-Off-
Shift and Launch-Off-Capture Testing,” IEEE
Trans.VLSI Syst, vol.22, no.3, March 2014.
[5] Y.Zorian, “A Distributed BIST Control Scheme for
Complex VLSI Devices,” IEEE VLSI Test Symp.,pp.4-
9,1993.
[6] P.Girard, L.Guiller, C.Landrault and
S.Pravossoudovitch,”Circuit Partitioning for Low Power
BIST design with Minimized Peak Power Consumption,”
in Proc. 18th
Asian Test Symp.,Nov.1999,pp.89-94.
[7] H.F.Ko and N.Nicolici,”RTL scan design for skewed-
load at-speed test under power constraints,” in Proc.lnt.
Conf. Camput. Design.Oct.2006,pp.237-242.
[8] H.F.Ko and N.Nicolici,”Automated scan chain division
for reducing shift and capture power during broadside at-
speed test,” IEEE Trans. Comput.-Aided Design Integr.
Circuits Syst., vol.27, no.11,pp.2092-2097, Nov.2008.
[9] J.Savir and S.Patil,”On broad-side delay test,” Trans. On
Very Large Scale Integration (VLSI)Systems,
vol.2,pp.368,1994.
[10] S.Remersaro, X.Lin, Z.Zhang, S.M.Reddy, I.Pomeranz,
and J.Rajski,”Preferred fill: a scalable method to reduce
capture power for scan based designs, “ in Proc.of ITC
2006, pp.32.2.
[11] X.Wen, Y.Yamashita, S.Morishima,S.Kajihara,
L.T.Wang,K.K.Saluja and K.Kinoshita,”Low-capture-
power test generation for scan based at-speed testing,” in
Proc.ITC,pp.1019-1028,2005.
[12] Z.Zhang,S.Reddy,I.Pomeranz,J.Rajski and B.Al-
Hashimi,”Enhancing Delay Fault coverage through low
power segmented scan,” in Proc.of ETS,pp. 21-28, 2006.
[13] Ho Fai Ko, Nicola Nicolici,”A Novel Automated Scan
Chain Division Method for Shift and Capture Power
Reduction in broadside At-Speed Test,” IEEE Trans. On
Computer-Aided Design, vol.27,no.11, pp.2092-2097,
2008.
[14] S.Wang and S.Gupta,”ATPG for heat dissipation
minimization during test application,” IEEE Trans.
Comput., vol.47,no.2,pp.256-262,Feb.1998.
[15] S.Wang and S.K.Gupta,”An Automatic Test Pattern
Generator for Minimizing Switching Activity during
Scan testing activity,” IEEE Trans. Computer-Aided
Design, vol.21,no.8,pp.954-968, 2002.
[16] M.Gondran and M.Minoux,”Graphes et Algorithmes,”
Editions Eyrolles, ISSN 0399-4198,1979.

More Related Content

What's hot

General pipeline of transcriptomics analysis
General pipeline of transcriptomics analysisGeneral pipeline of transcriptomics analysis
General pipeline of transcriptomics analysisSanty Marques-Ladeira
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipIDES Editor
 
Signature PSO: A novel inertia weight adjustment using fuzzy signature for LQ...
Signature PSO: A novel inertia weight adjustment using fuzzy signature for LQ...Signature PSO: A novel inertia weight adjustment using fuzzy signature for LQ...
Signature PSO: A novel inertia weight adjustment using fuzzy signature for LQ...journalBEEI
 
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann MethodNumerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann MethodIDES Editor
 
Effect of Residual Modes on Dynamically Condensed Spacecraft Structure
Effect of Residual Modes on Dynamically Condensed Spacecraft StructureEffect of Residual Modes on Dynamically Condensed Spacecraft Structure
Effect of Residual Modes on Dynamically Condensed Spacecraft StructureIRJET Journal
 
A Simulation Experiment on a Built-In Self Test Equipped with Pseudorandom Te...
A Simulation Experiment on a Built-In Self Test Equipped with Pseudorandom Te...A Simulation Experiment on a Built-In Self Test Equipped with Pseudorandom Te...
A Simulation Experiment on a Built-In Self Test Equipped with Pseudorandom Te...VLSICS Design
 
A Novel Route Optimized Cluster Based Routing Protocol for Pollution Controll...
A Novel Route Optimized Cluster Based Routing Protocol for Pollution Controll...A Novel Route Optimized Cluster Based Routing Protocol for Pollution Controll...
A Novel Route Optimized Cluster Based Routing Protocol for Pollution Controll...IRJET Journal
 
Determining Multiple Steady State Zcs Operating Points Of A Switch Mode Conta...
Determining Multiple Steady State Zcs Operating Points Of A Switch Mode Conta...Determining Multiple Steady State Zcs Operating Points Of A Switch Mode Conta...
Determining Multiple Steady State Zcs Operating Points Of A Switch Mode Conta...tchunsen
 
Study and Development of an Energy Saving Mechanical System
Study and Development of an Energy Saving Mechanical SystemStudy and Development of an Energy Saving Mechanical System
Study and Development of an Energy Saving Mechanical SystemIDES Editor
 
Robust and efficient nonlinear structural analysis using the central differen...
Robust and efficient nonlinear structural analysis using the central differen...Robust and efficient nonlinear structural analysis using the central differen...
Robust and efficient nonlinear structural analysis using the central differen...openseesdays
 
MultiLevelROM2_Washinton
MultiLevelROM2_WashintonMultiLevelROM2_Washinton
MultiLevelROM2_WashintonMohammad Abdo
 
Optimum capacity allocation of distributed generation units using parallel ps...
Optimum capacity allocation of distributed generation units using parallel ps...Optimum capacity allocation of distributed generation units using parallel ps...
Optimum capacity allocation of distributed generation units using parallel ps...eSAT Journals
 

What's hot (18)

On using BS to improve the
On using BS to improve theOn using BS to improve the
On using BS to improve the
 
Jy3617211726
Jy3617211726Jy3617211726
Jy3617211726
 
General pipeline of transcriptomics analysis
General pipeline of transcriptomics analysisGeneral pipeline of transcriptomics analysis
General pipeline of transcriptomics analysis
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
 
Signature PSO: A novel inertia weight adjustment using fuzzy signature for LQ...
Signature PSO: A novel inertia weight adjustment using fuzzy signature for LQ...Signature PSO: A novel inertia weight adjustment using fuzzy signature for LQ...
Signature PSO: A novel inertia weight adjustment using fuzzy signature for LQ...
 
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann MethodNumerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
 
AFMM Manual
AFMM ManualAFMM Manual
AFMM Manual
 
Effect of Residual Modes on Dynamically Condensed Spacecraft Structure
Effect of Residual Modes on Dynamically Condensed Spacecraft StructureEffect of Residual Modes on Dynamically Condensed Spacecraft Structure
Effect of Residual Modes on Dynamically Condensed Spacecraft Structure
 
A Simulation Experiment on a Built-In Self Test Equipped with Pseudorandom Te...
A Simulation Experiment on a Built-In Self Test Equipped with Pseudorandom Te...A Simulation Experiment on a Built-In Self Test Equipped with Pseudorandom Te...
A Simulation Experiment on a Built-In Self Test Equipped with Pseudorandom Te...
 
A Novel Route Optimized Cluster Based Routing Protocol for Pollution Controll...
A Novel Route Optimized Cluster Based Routing Protocol for Pollution Controll...A Novel Route Optimized Cluster Based Routing Protocol for Pollution Controll...
A Novel Route Optimized Cluster Based Routing Protocol for Pollution Controll...
 
Determining Multiple Steady State Zcs Operating Points Of A Switch Mode Conta...
Determining Multiple Steady State Zcs Operating Points Of A Switch Mode Conta...Determining Multiple Steady State Zcs Operating Points Of A Switch Mode Conta...
Determining Multiple Steady State Zcs Operating Points Of A Switch Mode Conta...
 
Study and Development of an Energy Saving Mechanical System
Study and Development of an Energy Saving Mechanical SystemStudy and Development of an Energy Saving Mechanical System
Study and Development of an Energy Saving Mechanical System
 
Robust and efficient nonlinear structural analysis using the central differen...
Robust and efficient nonlinear structural analysis using the central differen...Robust and efficient nonlinear structural analysis using the central differen...
Robust and efficient nonlinear structural analysis using the central differen...
 
master-thesis
master-thesismaster-thesis
master-thesis
 
MultiLevelROM2_Washinton
MultiLevelROM2_WashintonMultiLevelROM2_Washinton
MultiLevelROM2_Washinton
 
L0421068072
L0421068072L0421068072
L0421068072
 
Lower back pain Regression models
Lower back pain Regression modelsLower back pain Regression models
Lower back pain Regression models
 
Optimum capacity allocation of distributed generation units using parallel ps...
Optimum capacity allocation of distributed generation units using parallel ps...Optimum capacity allocation of distributed generation units using parallel ps...
Optimum capacity allocation of distributed generation units using parallel ps...
 

Similar to Minimizing power consumption during scan testing

A survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesA survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesIJECEIAES
 
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 IJCER (www.ijceronline.com) International Journal of computational Engineeri... IJCER (www.ijceronline.com) International Journal of computational Engineeri...
IJCER (www.ijceronline.com) International Journal of computational Engineeri...ijceronline
 
Test Scheduling of Core Based SOC Using Greedy Algorithm
Test Scheduling of Core Based SOC Using Greedy AlgorithmTest Scheduling of Core Based SOC Using Greedy Algorithm
Test Scheduling of Core Based SOC Using Greedy AlgorithmIJERA Editor
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingIJERA Editor
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...IJMTST Journal
 
Low power test pattern generation for bist applications
Low power test pattern generation for bist applicationsLow power test pattern generation for bist applications
Low power test pattern generation for bist applicationseSAT Journals
 
Low power test pattern generation for bist applications
Low power test pattern generation for bist applicationsLow power test pattern generation for bist applications
Low power test pattern generation for bist applicationseSAT Publishing House
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPVLSICS Design
 
An introduction to scan test for test engineers
An introduction to scan test for test engineersAn introduction to scan test for test engineers
An introduction to scan test for test engineersMohit Mongia
 
Improving Power Efficiency in Cooperative Diversity and MIMO Systems by Using...
Improving Power Efficiency in Cooperative Diversity and MIMO Systems by Using...Improving Power Efficiency in Cooperative Diversity and MIMO Systems by Using...
Improving Power Efficiency in Cooperative Diversity and MIMO Systems by Using...IJERA Editor
 
On-chip Generation of Functional Tests with Reduced Delay and Power
On-chip Generation of Functional Tests with Reduced Delay and PowerOn-chip Generation of Functional Tests with Reduced Delay and Power
On-chip Generation of Functional Tests with Reduced Delay and PowerjournalBEEI
 
IRJET- Reliable Transmission of Packets using Multiple Channels
IRJET- Reliable Transmission of Packets using Multiple ChannelsIRJET- Reliable Transmission of Packets using Multiple Channels
IRJET- Reliable Transmission of Packets using Multiple ChannelsIRJET Journal
 
Optimum capacity allocation of distributed generation
Optimum capacity allocation of distributed generationOptimum capacity allocation of distributed generation
Optimum capacity allocation of distributed generationeSAT Publishing House
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsIJTET Journal
 
AN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSION
AN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSIONAN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSION
AN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSIONVLSICS Design
 
AN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSION
AN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSIONAN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSION
AN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSIONVLSICS Design
 
Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...IJERA Editor
 

Similar to Minimizing power consumption during scan testing (20)

Fv3610681072
Fv3610681072Fv3610681072
Fv3610681072
 
A survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesA survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniques
 
Ig3415411546
Ig3415411546Ig3415411546
Ig3415411546
 
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 IJCER (www.ijceronline.com) International Journal of computational Engineeri... IJCER (www.ijceronline.com) International Journal of computational Engineeri...
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 
Test Scheduling of Core Based SOC Using Greedy Algorithm
Test Scheduling of Core Based SOC Using Greedy AlgorithmTest Scheduling of Core Based SOC Using Greedy Algorithm
Test Scheduling of Core Based SOC Using Greedy Algorithm
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
 
Low power test pattern generation for bist applications
Low power test pattern generation for bist applicationsLow power test pattern generation for bist applications
Low power test pattern generation for bist applications
 
Low power test pattern generation for bist applications
Low power test pattern generation for bist applicationsLow power test pattern generation for bist applications
Low power test pattern generation for bist applications
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
 
An introduction to scan test for test engineers
An introduction to scan test for test engineersAn introduction to scan test for test engineers
An introduction to scan test for test engineers
 
Improving Power Efficiency in Cooperative Diversity and MIMO Systems by Using...
Improving Power Efficiency in Cooperative Diversity and MIMO Systems by Using...Improving Power Efficiency in Cooperative Diversity and MIMO Systems by Using...
Improving Power Efficiency in Cooperative Diversity and MIMO Systems by Using...
 
On-chip Generation of Functional Tests with Reduced Delay and Power
On-chip Generation of Functional Tests with Reduced Delay and PowerOn-chip Generation of Functional Tests with Reduced Delay and Power
On-chip Generation of Functional Tests with Reduced Delay and Power
 
IRJET- Reliable Transmission of Packets using Multiple Channels
IRJET- Reliable Transmission of Packets using Multiple ChannelsIRJET- Reliable Transmission of Packets using Multiple Channels
IRJET- Reliable Transmission of Packets using Multiple Channels
 
Optimum capacity allocation of distributed generation
Optimum capacity allocation of distributed generationOptimum capacity allocation of distributed generation
Optimum capacity allocation of distributed generation
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic Circuits
 
AN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSION
AN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSIONAN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSION
AN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSION
 
AN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSION
AN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSIONAN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSION
AN EFFICIENT SEGMENTED RANDOM ACCESS SCANARCHITECTURE WITH TEST COMPRESSION
 
Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...
 
H010613642
H010613642H010613642
H010613642
 

More from IJTET Journal

Beaglebone Black Webcam Server For Security
Beaglebone Black Webcam Server For SecurityBeaglebone Black Webcam Server For Security
Beaglebone Black Webcam Server For SecurityIJTET Journal
 
Biometrics Authentication Using Raspberry Pi
Biometrics Authentication Using Raspberry PiBiometrics Authentication Using Raspberry Pi
Biometrics Authentication Using Raspberry PiIJTET Journal
 
Conceal Traffic Pattern Discovery from Revealing Form of Ad Hoc Networks
Conceal Traffic Pattern Discovery from Revealing Form of Ad Hoc NetworksConceal Traffic Pattern Discovery from Revealing Form of Ad Hoc Networks
Conceal Traffic Pattern Discovery from Revealing Form of Ad Hoc NetworksIJTET Journal
 
Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...
Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...
Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...IJTET Journal
 
Prevention of Malicious Nodes and Attacks in Manets Using Trust worthy Method
Prevention of Malicious Nodes and Attacks in Manets Using Trust worthy MethodPrevention of Malicious Nodes and Attacks in Manets Using Trust worthy Method
Prevention of Malicious Nodes and Attacks in Manets Using Trust worthy MethodIJTET Journal
 
Effective Pipeline Monitoring Technology in Wireless Sensor Networks
Effective Pipeline Monitoring Technology in Wireless Sensor NetworksEffective Pipeline Monitoring Technology in Wireless Sensor Networks
Effective Pipeline Monitoring Technology in Wireless Sensor NetworksIJTET Journal
 
Raspberry Pi Based Client-Server Synchronization Using GPRS
Raspberry Pi Based Client-Server Synchronization Using GPRSRaspberry Pi Based Client-Server Synchronization Using GPRS
Raspberry Pi Based Client-Server Synchronization Using GPRSIJTET Journal
 
ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...
ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...
ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...IJTET Journal
 
An Efficient Decoding Algorithm for Concatenated Turbo-Crc Codes
An Efficient Decoding Algorithm for Concatenated Turbo-Crc CodesAn Efficient Decoding Algorithm for Concatenated Turbo-Crc Codes
An Efficient Decoding Algorithm for Concatenated Turbo-Crc CodesIJTET Journal
 
Improved Trans-Z-source Inverter for Automobile Application
Improved Trans-Z-source Inverter for Automobile ApplicationImproved Trans-Z-source Inverter for Automobile Application
Improved Trans-Z-source Inverter for Automobile ApplicationIJTET Journal
 
Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...
Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...
Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...IJTET Journal
 
Comprehensive Path Quality Measurement in Wireless Sensor Networks
Comprehensive Path Quality Measurement in Wireless Sensor NetworksComprehensive Path Quality Measurement in Wireless Sensor Networks
Comprehensive Path Quality Measurement in Wireless Sensor NetworksIJTET Journal
 
Optimizing Data Confidentiality using Integrated Multi Query Services
Optimizing Data Confidentiality using Integrated Multi Query ServicesOptimizing Data Confidentiality using Integrated Multi Query Services
Optimizing Data Confidentiality using Integrated Multi Query ServicesIJTET Journal
 
Foliage Measurement Using Image Processing Techniques
Foliage Measurement Using Image Processing TechniquesFoliage Measurement Using Image Processing Techniques
Foliage Measurement Using Image Processing TechniquesIJTET Journal
 
Harmonic Mitigation Method for the DC-AC Converter in a Single Phase System
Harmonic Mitigation Method for the DC-AC Converter in a Single Phase SystemHarmonic Mitigation Method for the DC-AC Converter in a Single Phase System
Harmonic Mitigation Method for the DC-AC Converter in a Single Phase SystemIJTET Journal
 
Comparative Study on NDCT with Different Shell Supporting Structures
Comparative Study on NDCT with Different Shell Supporting StructuresComparative Study on NDCT with Different Shell Supporting Structures
Comparative Study on NDCT with Different Shell Supporting StructuresIJTET Journal
 
Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...
Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...
Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...IJTET Journal
 
A Five – Level Integrated AC – DC Converter
A Five – Level Integrated AC – DC ConverterA Five – Level Integrated AC – DC Converter
A Five – Level Integrated AC – DC ConverterIJTET Journal
 
A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...
A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...
A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...IJTET Journal
 
Study of Eccentrically Braced Outrigger Frame under Seismic Exitation
Study of Eccentrically Braced Outrigger Frame under Seismic ExitationStudy of Eccentrically Braced Outrigger Frame under Seismic Exitation
Study of Eccentrically Braced Outrigger Frame under Seismic ExitationIJTET Journal
 

More from IJTET Journal (20)

Beaglebone Black Webcam Server For Security
Beaglebone Black Webcam Server For SecurityBeaglebone Black Webcam Server For Security
Beaglebone Black Webcam Server For Security
 
Biometrics Authentication Using Raspberry Pi
Biometrics Authentication Using Raspberry PiBiometrics Authentication Using Raspberry Pi
Biometrics Authentication Using Raspberry Pi
 
Conceal Traffic Pattern Discovery from Revealing Form of Ad Hoc Networks
Conceal Traffic Pattern Discovery from Revealing Form of Ad Hoc NetworksConceal Traffic Pattern Discovery from Revealing Form of Ad Hoc Networks
Conceal Traffic Pattern Discovery from Revealing Form of Ad Hoc Networks
 
Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...
Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...
Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...
 
Prevention of Malicious Nodes and Attacks in Manets Using Trust worthy Method
Prevention of Malicious Nodes and Attacks in Manets Using Trust worthy MethodPrevention of Malicious Nodes and Attacks in Manets Using Trust worthy Method
Prevention of Malicious Nodes and Attacks in Manets Using Trust worthy Method
 
Effective Pipeline Monitoring Technology in Wireless Sensor Networks
Effective Pipeline Monitoring Technology in Wireless Sensor NetworksEffective Pipeline Monitoring Technology in Wireless Sensor Networks
Effective Pipeline Monitoring Technology in Wireless Sensor Networks
 
Raspberry Pi Based Client-Server Synchronization Using GPRS
Raspberry Pi Based Client-Server Synchronization Using GPRSRaspberry Pi Based Client-Server Synchronization Using GPRS
Raspberry Pi Based Client-Server Synchronization Using GPRS
 
ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...
ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...
ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...
 
An Efficient Decoding Algorithm for Concatenated Turbo-Crc Codes
An Efficient Decoding Algorithm for Concatenated Turbo-Crc CodesAn Efficient Decoding Algorithm for Concatenated Turbo-Crc Codes
An Efficient Decoding Algorithm for Concatenated Turbo-Crc Codes
 
Improved Trans-Z-source Inverter for Automobile Application
Improved Trans-Z-source Inverter for Automobile ApplicationImproved Trans-Z-source Inverter for Automobile Application
Improved Trans-Z-source Inverter for Automobile Application
 
Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...
Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...
Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...
 
Comprehensive Path Quality Measurement in Wireless Sensor Networks
Comprehensive Path Quality Measurement in Wireless Sensor NetworksComprehensive Path Quality Measurement in Wireless Sensor Networks
Comprehensive Path Quality Measurement in Wireless Sensor Networks
 
Optimizing Data Confidentiality using Integrated Multi Query Services
Optimizing Data Confidentiality using Integrated Multi Query ServicesOptimizing Data Confidentiality using Integrated Multi Query Services
Optimizing Data Confidentiality using Integrated Multi Query Services
 
Foliage Measurement Using Image Processing Techniques
Foliage Measurement Using Image Processing TechniquesFoliage Measurement Using Image Processing Techniques
Foliage Measurement Using Image Processing Techniques
 
Harmonic Mitigation Method for the DC-AC Converter in a Single Phase System
Harmonic Mitigation Method for the DC-AC Converter in a Single Phase SystemHarmonic Mitigation Method for the DC-AC Converter in a Single Phase System
Harmonic Mitigation Method for the DC-AC Converter in a Single Phase System
 
Comparative Study on NDCT with Different Shell Supporting Structures
Comparative Study on NDCT with Different Shell Supporting StructuresComparative Study on NDCT with Different Shell Supporting Structures
Comparative Study on NDCT with Different Shell Supporting Structures
 
Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...
Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...
Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...
 
A Five – Level Integrated AC – DC Converter
A Five – Level Integrated AC – DC ConverterA Five – Level Integrated AC – DC Converter
A Five – Level Integrated AC – DC Converter
 
A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...
A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...
A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...
 
Study of Eccentrically Braced Outrigger Frame under Seismic Exitation
Study of Eccentrically Braced Outrigger Frame under Seismic ExitationStudy of Eccentrically Braced Outrigger Frame under Seismic Exitation
Study of Eccentrically Braced Outrigger Frame under Seismic Exitation
 

Recently uploaded

Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Educationpboyjonauth
 
PSYCHIATRIC History collection FORMAT.pptx
PSYCHIATRIC   History collection FORMAT.pptxPSYCHIATRIC   History collection FORMAT.pptx
PSYCHIATRIC History collection FORMAT.pptxPoojaSen20
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Sapana Sha
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3JemimahLaneBuaron
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsanshu789521
 
Science 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsScience 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsKarinaGenton
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application ) Sakshi Ghasle
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxNirmalaLoungPoorunde1
 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxRoyAbrique
 
mini mental status format.docx
mini    mental       status     format.docxmini    mental       status     format.docx
mini mental status format.docxPoojaSen20
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxmanuelaromero2013
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentInMediaRes1
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13Steve Thomason
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxpboyjonauth
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdfSoniaTolstoy
 

Recently uploaded (20)

Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Education
 
PSYCHIATRIC History collection FORMAT.pptx
PSYCHIATRIC   History collection FORMAT.pptxPSYCHIATRIC   History collection FORMAT.pptx
PSYCHIATRIC History collection FORMAT.pptx
 
Staff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSDStaff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSD
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha elections
 
Science 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsScience 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its Characteristics
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application )
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptx
 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
 
mini mental status format.docx
mini    mental       status     format.docxmini    mental       status     format.docx
mini mental status format.docx
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptx
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media Component
 
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdfTataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
 
Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptx
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
 
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
 

Minimizing power consumption during scan testing

  • 1. INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303 174 Techniques for Minimizing Power Consumption in DFT during Scan Test Activity Mathavi.A1 Hema.B2 Kalasalingam Institute of Technology, PG Student of ECE Kalasalingam Institute of Technology, Asst. prof of ECE mathaviathimoolam@gmail.com hema.shivam@gmail.com Abstract--- Lessening in test force is vital to enhance battery lifetime in versatile electronic gadgets utilizing intermittent individual test. It's to expand dependability of testing, and to lessen test expense. A conservative test set with exceedingly viable examples, every identifying different issues, is attractive for lower test expenses. Such examples build exchanging action amid dispatch and catch operations. In this paper, we exhibit a novel circuit strategy to essentially dispense with test force dissemination in combinational logic by veiling sign moves at the logic inputs amid sweep moving is exhibited. We execute the concealing impact by embeddings an additional supply gating transistor in the supply to ground way for the first- level doors at the yields of the output flip-flops. The gating transistor supply is killed in the output in mode, basically gating the supply. Further, DFT punishments are decreased by embracing specific trigger Scan structural planning. This building design diminishes exchanging action in the circuit-under-test (CUT) and builds the clock recurrence of the checking methodology. The assistant chain moves in the contrast between sequential test vectors and just the obliged moves (alluded to as trigger information) are connected. Power necessities are significantly decreased by the utilization of a two-stage heuristic technique. Utilizing ISCAS 89 benchmark circuits, this adequacy is to enhance SoC test measures (power, time, and information volume) is tentatively assessed and affirmed. Index Terms---Circuit-under-test (CUT), First-level gates, Masking effect, Power dissipation, Selective trigger. 1. INTRODUCTION INTELLECTUAL property (IP) centers are generally utilized for planning a framework on-chip (SoC). Despite the fact that IP centers can help to decrease the outline process duration, regardless they posture numerous difficulties when testing is considered. The precomputed test examples that are given by center merchants must be connected to every center inside the force requirements of the entire SoC. As a framework integrator may utilize a center in diverse stages with different test instruments, the test instrument of the center must consider issues identified with information volume, application time, and force utilization amid test. Besides, different models, (for example, for deferral issues) must be considered to enhance the general test quality. Power dissemination amid test mode can be altogether higher than that amid useful mode because of taking after reasons [5], the outline under test (DUT) has a hardware implanted to diminish the test-multifaceted nature is regularly sit out of gear amid the typical operations, however utilized widely as a part of the testing mode, the test proficiency demonstrates a high relationship with the switch rate, in a circuit, parallel testing is much of the time utilized to lessen application time. Since the data vectors amid utilitarian mode are typically emphatically related contrasted with factually free continuous information vectors amid testing. Swarup Bhunia [1] demonstrated that embeddings blocking logic into the boost way of the output flip-lemon to anticipate proliferation of output expansive influence to logic doors offers a straightforward and powerful answer for altogether diminish test force, autonomous of test set. Mohammad Hosseinabady [2] demonstrated that the output structural planning uses an activating (empowering) tie notwithstanding the information registers. Moreover, activating affix equipment is intended to exploit comparable neighboring information into the information enrolls, the activating chain chooses where an information flip-flop must flip or hold its old worth. Alongside test reformatting systems, this structural planning can decrease test time and force. It can likewise decrease the information volume by empowering the utilization of pressure calculation on its reformatted information. It is material to postpone shortcoming testing. Yet, information in this building design is checked at a higher clock recurrence. Arnab Sinha [3] demonstrated that to minimize the force by the utilization of a two-stage heuristic technique, which can be abused by any chip-format project amid the position and steering of sweep cells. At-pace or significantly speedier than-at-rate testing propose design era under low exchanging action limitations may prompt misfortune in test quality and/or example check swelling, Samah Mohamed [4] demonstrated that outline for testability (DFT) support for empowering the utilization of an arrangement of examples enhanced for expense and
  • 2. INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303 175 quality as seems to be, yet in a low influence way. Apportioning the outline and testing one parcel at once has been proposed to diminish dispatch and catch force amid inherent individual test (BIST) [6], LOS [7] and LOC [8]. In broadside testing [9], it needs two back to back catch cycles, called the dispatch cycle and the catch cycle. Some effective techniques, which decrease the catch control in stuck-at issue testing, neglect to diminish test power in both dispatch cycle and catch cycle for broadside testing. In the event that one strategy tries to lessen test power under broadside testing, it is sensible for it to identify all the testable deficiencies of broadside testing, as [10][11][12]. Flaw scope can be enhanced with the force diminishment plots in [13]. Wang, al. proposed programmed test example era strategy to update test vectors for decreasing force scattering amid sweep testing [14]. With their programmed test example era (ATPG), repetitive moves in combinational logic can be diminished however not killed. In [15], an ATPG procedure that lessens exchanging action (amid testing of consecutive circuits with full-sweep) has been introduced. The tests produced by this ATPG can be utilized for an at-rate testing of chips and has scarcely passed on with any danger of harm because of unnecessary warmth. Whatever remains of the paper is composed as takes after: Section II delineates the proposed piece logic system; sweep chain reordering and a specific trigger for decrease move control and averts excess exchanging in combinational logic. Segment III presents exploratory results as far as territory, defer and force for an ISCAS89 benchmark circuit. Segment IV presents related works. Area V closes the paper. 2. REORDERING TEST VECTORS AND SCAN CHAIN FLIPFLOPS The element power dissemination in the combinational circuit can be lessened by bringing down the action of the circuit. The test mode normally disperses more power than the typical mode, particularly if a sweep component is utilized. Amid the information examine in process, the distinction between two contiguous bits travels through the output way because of the movement operation; numerous drifting moves are then connected to the CUT. Numerous methods are proposed to lessen the quantity of these moves for force dispersal administration. These systems can be classified as takes after: • Transition methods to decrease the distinction between two sequential test vecto Transition techniques to reduce the difference between two consecutive test vectors  Transition techniques to reduce the effect of the difference between two consecutive bits in the scan chain  Transition techniques by partitioning to reduce the effective length of the scan chain  Techniques to block transitions in a circuit  Scan reordering techniques and  Integrated techniques that use two or more of the aforementioned techniques. 2.1 Block Logic Method for prevents redundant switching in combinational circuits Embeddings blocking logic into the boost way of the sweep flip-flops [as demonstrated in Fig.1(a)] to anticipate engendering of output progressively outstretching influence to logic doors offers a straightforward and successful answer for altogether decrease test force, autonomous of test set. Blocking doors (of sort NOR or NAND) are controlled by the test empower signal [as in Fig. 1(b)], and the jolt ways stay settled at either logic "0" or "1" amid the whole sweep shift operation. Fig. 1 (a). Scan architecture with blocking circuitry Fig. 1(b). Blocking Logic 2.2 Scan chain reorder for minimizing transition between flip-flops Consider the ISCAS89 benchmark circuit with three flip-flops. We consider eight tests –cases and their corresponding responses. We construct an acyclic graph from the test-sequence and their respective responses. The vertices in the graph represent the flip-flops and the edges represent the transitions between each pair of flip-flops. The edge–weights represent the total bit-difference between them as obtained from the table. The greedy algorithm starts from any scan cell which is ff1 in our case, as the choice of initial state is not so crucial when the number of scan cells in the graph is considerably high [16]. The final series in this case BL D Q SFF D Q SFF To comb. gates To comb. gates TC~ TC ~ CL K CL K
  • 3. INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303 176 is ff1-ff3-ff2. Cutting the cyclic graph at each edge will give us the scan-in and scan-out flip-flops. Each cutting solution differs in number of transitions and propagation and the one with least number of transitions will give the optimal solution to our problem. Fig. 2. Test sequence and Weighted Graph 2.3 Selective trigger scan architecture for reduce transition between test vectors We begin clarification of our proposed building design with a straightforward sample. Give us a chance to expect that V1 in Fig.3a is a current test vector in an output chain and V2 is the following test vector that must be moved. Looking at V1 and V2 moves (Fig.3a), there are just three distinctions in their bits that are called vital moves. If we somehow managed to utilize a standard output chain and movement V2 into the sweep chain in eight test tickers, with every movement, moves indicated in Fig.3b would happen. Case in point, moving the furthest right 1 of V2 into the sweep chain causes five moves in the eight output flip-flops. Through and through, moving V2 would bring about 32 moves that are called pointless moves. Then again, parallel stacking V2 specifically into our building design disposes of superfluous moves on the info of a CUT. Hence, our scan architecture should eliminate the unnecessary transitions. In addition, the following features should be considered for the proposed scan architecture and DFT method:  Scan architecture should not add extra inputs compared to a conventional scan approach.  A DFT approach must add no delay to the normal operation of the circuit. The proposed architecture, shown in Fig. 4, serves two purposes. One is to reduce the activity at the data outputs and the second is to facilitate test data compression. As shown in Fig. 4, the NOR gate compare the previous cell and next cell test vector, if the value will be same again the previous value saved into the TR. If the value will be differ the next cell value saved into TR. Fig. 3. (a). Transitions between 2 test vectors. (b). Unnecessary transitions in scan architecture Fig. 4. Scan cell structure of the proposed architecture 3. EXPERIMENTAL RESULTS We assess our configuration utilizing modelsim. We recreate an ISCAS89 benchmark circuits and acquired power, zone and time. We pick piece logic, output chain reordering and specific trigger for trial assessment. Fig.5. Speaks to, the reenactment consequence of square logic, sweep chain reordering and particular trigger. Particular trigger diminished number of move between test vectors. Piece logic averts excess information move into combinational circuits. Sweep chain reordering diminished power through revise the output cells. Fig.6. Demonstrates the force report of piece logic, sweep chain reordering and particular trigger. The aggregate force utilization is 123 MHz. The flip-failure check is decreased. Along these lines, the zone overhead is decreased. Table.1. Demonstrates the static force andareacomparison.Fig.5. The simulation result of proposed architecture Fig. 6. Power report for proposed architecture FF1 FF2 FF3 V1 1 0 0 R1 0 1 0 V2 0 1 0 R2 0 0 1 V3 1 1 1 R3 0 1 1 V4 1 0 1 R4 0 1 0
  • 4. INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303 177 TABLE.1. POWER AND AREA COMPARISON ANALYSIS Static(MHz) Gate Count Mixed At-Speed Testing 131 268 Selective Trigger 123 142 4. RELATED WORK Scan cell testing has been extensively used to increase fault coverage in the CUT. The proposed architecture reduces number transitions between scan cells and test vectors. So, the power consumption in the scan cell testing has been reduced. 5. CONCLUSION In this paper, square logic, output chain reordering and a particular trigger are proposed for force minimization. At moving operation excess information moved into the combinational circuit. Particular trigger diminishes the number moves between test vectors to utilizing NOR door. Sweep chain reordering revamps the output cells for lessen number of moves between output cells. Both of these techniques, used to minimize the force and region contrasted with the current blended at-rate testing. From there on, the static force is lessened by 6.1% to 11% and the zone overhead diminished by 47% contrasted with Mixed At- Speed testing with slight addition in element power. REFERENCE [1] B.Swarup and M.Saibal, “Low-Power Scan Design Using First-Level Supply Gating,” IEEE Trans. VLSI systems, vol.13, no.3,pp. 384-395 March 2005. [2] H.Mohammad, S.Shervin, L.Fabrizio and N.Zainalabedin, “A Selective Trigger Scan Architecture for VLSI Testing,” IEEE Trans.Computers, vol.57, no.3, pp.316-327, March 2008. [3] S.Arnab, D.Debrup and S.Indranil,”Power Minimization by Scanchain Reordering,” IEEE VLSI Test Symp.,pp.35-42,2000. [4] M.Samah and S.Ozgur,”Design for Testability Support for Launch and Capture Power Reduction in Launch-Off- Shift and Launch-Off-Capture Testing,” IEEE Trans.VLSI Syst, vol.22, no.3, March 2014. [5] Y.Zorian, “A Distributed BIST Control Scheme for Complex VLSI Devices,” IEEE VLSI Test Symp.,pp.4- 9,1993. [6] P.Girard, L.Guiller, C.Landrault and S.Pravossoudovitch,”Circuit Partitioning for Low Power BIST design with Minimized Peak Power Consumption,” in Proc. 18th Asian Test Symp.,Nov.1999,pp.89-94. [7] H.F.Ko and N.Nicolici,”RTL scan design for skewed- load at-speed test under power constraints,” in Proc.lnt. Conf. Camput. Design.Oct.2006,pp.237-242. [8] H.F.Ko and N.Nicolici,”Automated scan chain division for reducing shift and capture power during broadside at- speed test,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol.27, no.11,pp.2092-2097, Nov.2008. [9] J.Savir and S.Patil,”On broad-side delay test,” Trans. On Very Large Scale Integration (VLSI)Systems, vol.2,pp.368,1994. [10] S.Remersaro, X.Lin, Z.Zhang, S.M.Reddy, I.Pomeranz, and J.Rajski,”Preferred fill: a scalable method to reduce capture power for scan based designs, “ in Proc.of ITC 2006, pp.32.2. [11] X.Wen, Y.Yamashita, S.Morishima,S.Kajihara, L.T.Wang,K.K.Saluja and K.Kinoshita,”Low-capture- power test generation for scan based at-speed testing,” in Proc.ITC,pp.1019-1028,2005. [12] Z.Zhang,S.Reddy,I.Pomeranz,J.Rajski and B.Al- Hashimi,”Enhancing Delay Fault coverage through low power segmented scan,” in Proc.of ETS,pp. 21-28, 2006. [13] Ho Fai Ko, Nicola Nicolici,”A Novel Automated Scan Chain Division Method for Shift and Capture Power Reduction in broadside At-Speed Test,” IEEE Trans. On Computer-Aided Design, vol.27,no.11, pp.2092-2097, 2008. [14] S.Wang and S.Gupta,”ATPG for heat dissipation minimization during test application,” IEEE Trans. Comput., vol.47,no.2,pp.256-262,Feb.1998. [15] S.Wang and S.K.Gupta,”An Automatic Test Pattern Generator for Minimizing Switching Activity during Scan testing activity,” IEEE Trans. Computer-Aided Design, vol.21,no.8,pp.954-968, 2002. [16] M.Gondran and M.Minoux,”Graphes et Algorithmes,” Editions Eyrolles, ISSN 0399-4198,1979.