SlideShare a Scribd company logo
1 of 83
Цифровая схемотехника
Лекция №3
Курс:
Комбинационные устройства
Тема лекции:
План лекции:
• Введение
• Булевы выражения
• Булева алгебра
• От логики к логическим элементам
• Многоуровневая комбинационная
логика
• Что за X и Z?
• Карты Карно
• Базовые комбинационные блоки
• Временные характеристики
Введение
Логическая схема состоит из:
• Входов
• Выходов
• Функциональной спецификации
• Временной спецификации
inputs outputs
functional spec
timing spec
• Узлы
– Входы: A, B, C
– Выходы: Y, Z
– Внутренний узел: n1
• Элементы схемы
– E1, E2, E3
– Каждый их них, в свою очередь, является схемой
A E1
E2
E3
B
C
n1
Y
Z
Схемы
inputs outputs
functional spec
timing spec
 Комбинационные цифровые схемы
– Не имеют памяти
– Выход определяется текущим состоянием входов
 Последовательностные цифровые схемы
– Имеют память
– Выход определяется текущим и предыдущим
состоянием входов
Типы цифровых схем
• Каждый элемент сам является комбинационным.
• Каждое узел схемы является или входом, или
подсоединен к одному-единственному выходу другого
элемента.
• Схема не содержит циклических путей.
• Пример:
Правила комбинационной композиции
• Функциональная спецификация выходов по значениям
входов.
• Пример: S = F(A, B, Cin)
Cout = F(A, B, Cin)
A
S
S = A  B  Cin
Cout
= AB + ACin
+ BCin
B
Cin
C
L
Cout
Булевы выражения
• Дополнение: переменная с чертой над именем
A, B, C
• Литерал: переменная или ее дополнение
A, A, B, B, C, C
• Импликанта: произведение литералов
ABC, AC, BC
• Минтерм: произведение, в которое входят литералы всех входных
переменных
ABC, ABC, ABC
• Макстерм: сумма, в которую входят литералы всех входных
переменных
(A+B+C), (A+B+C), (A+B+C)
Некоторые определения
Y = F(A, B) =
• Все выражения могут быть записаны в дизъюнктивной форме.
• Каждой строке соответствует минтерм.
• Минтерм является произведением (И, AND) литералов.
• Каждый минтерм становится ИСТИННЫМ только для своей строки.
• Функция записывается путем суммирования минтермов тех строк, для
которых выход равен ИСТИНЕ.
• Таким образом, формируется сумма (ИЛИ, OR) произведений (И, AND).
Дизъюнктивная форма
A B Y
0 0
0 1
1 0
1 1
0
1
0
1
minterm
A B
A B
A B
A B
minterm
name
m0
m1
m2
m3
Y = F(A, B) =
Дизъюнктивная форма
• Все выражения могут быть записаны в дизъюнктивной форме.
• Каждой строке соответствует минтерм.
• Минтерм является произведением (AND) литералов.
• Каждый минтерм становится ИСТИННЫМ только для своей строки.
• Функция является суммой минтермов тех строк, для которых выход
равен ИСТИНЕ.
• Таким образом, формируется сумма (ИЛИ, OR) произведений (И, AND).
A B Y
0 0
0 1
1 0
1 1
0
1
0
1
minterm
A B
A B
A B
A B
minterm
name
m0
m1
m2
m3
Y = F(A, B) = AB + AB = Σ(1, 3)
Дизъюнктивная форма
• Все выражения могут быть записаны в дизъюнктивной форме
• Каждой строке соответствует минтерм
• Минтерм является произведением (И, AND) литералов
• Каждый минтерм становится ИСТИННЫМ только для своей строки
• Функция записывается путем суммирования минтермов тех строк, для
которых выход равен ИСТИНЕ
• Таким образом, формируется сумма (ИЛИ, OR) произведений (И, AND)
A B Y
0 0
0 1
1 0
1 1
0
1
0
1
minterm
A B
A B
A B
A B
minterm
name
m0
m1
m2
m3
Y = F(A, B) = (A + B)(A + B) = Π(0, 2)
• Все булевы выражения могут быть записаны в конъюнктивной форме.
• Каждой строке соответствует макстерм.
• Макстерм является суммой (ИЛИ, OR) литералов.
• Каждый макстерм становится ЛОЖНЫМ только для своей строки.
• Функция является произведением макстермов тех строк, для которых
выход равен ЛОЖЬ.
• Таким образом, формируется произведение (И, AND) сумм (ИЛИ, OR).
Конъюнктивная форма
A + B
A B Y
0 0
0 1
1 0
1 1
0
1
0
1
maxterm
A + B
A + B
A + B
maxterm
name
M0
M1
M2
M3
 Вы собираетесь в кафетерий пообедать
– Вы не пообедаете (E)
– Там закрыто (не открыто, O) или
– Они предлагают только корн-доги (C).
 Запишите таблицу истинности, по которой можно
определить пообедаете ли вы (E).
O C E
0 0
0 1
1 0
1 1
Примеры булевых выражений
 Вы собираетесь в кафетерий пообедать
– Вы не пообедаете (E)
– Там закрыто (не открыто, O) или
– Они предлагают только корн-доги (C).
 Запишите таблицу истинности, по которой можно
определить пообедаете ли вы (E).
Примеры булевых выражений
O C E
0 0
0 1
1 0
1 1
0
0
1
0
 Дизъюнктивная форма (SOP, sum-of-products) сумма (ИЛИ)
произведений (И)
 Конъюнктивная форма (POS, product-of-sums) - произведение (И) сумм
(ИЛИ)
O C E
0 0
0 1
1 0
1 1
minterm
O C
O C
O C
O C
O + C
O C Y
0 0
0 1
1 0
1 1
maxterm
O + C
O + C
O + C
Дизъюнктивная и конъюнктивная формы
 Дизъюнктивная форма (SOP, sum-of-products) – сумма (ИЛИ)
произведений (И)
 Конъюнктивная форма (POS, product-of-sums) – произведение (И) сумм
(ИЛИ)
O + C
O C E
0 0
0 1
1 0
1 1
0
0
1
0
maxterm
O + C
O + C
O + C
O C E
0 0
0 1
1 0
1 1
0
0
1
0
minterm
O C
O C
O C
O C
Y = (O + C)(O + C)(O + C)
= Π(0, 1, 3)
Y = OC = Σ(2)
Дизъюнктивная и конъюнктивная формы
• Аксиомы и теоремы позволяют упрощать
булевы выражения
• Подобно обычной алгебре, но проще:
переменные принимают только два значения (0
или 1)
• Двойственность аксиом и теорем:
– Можно взаимно заменить И и ИЛИ, 0 и 1
Булева алгебра
Булевы аксиомы
 B 1 = B
 B + 0 = B
T1: Теорема об идентичности
1 =
=
B
0
B
B
B
 B 1 = B
 B + 0 = B
T1: Теорема об идентичности
 B 0 = 0
 B + 1 = 1
T2: Теорема о нулевом элементе
0 =
=
B
1
B
1
0
• B 0 = 0
• B + 1 = 1
T2: Теорема о нулевом элементе
• B B = B
• B + B = B
T3: Теорема об идемпотентности
B =
=
B
B
B
B
B
 B B = B
 B + B = B
T3: Теорема об идемпотентности
 B = B
T4: Теорема об инволюции
= B
B
• B = B
T4: Теорема об инволюции
• B B = 0
• B + B = 1
T5: Теорема о дополнительности
B =
=
B
B
B
1
0
• B B = 0
• B + B = 1
T5: Теорема о дополнительности
Булевы теоремы, обзор
Булевы теоремы нескольких переменных
• Y = AB + AB
Упрощение булевых выражений
Пример 1:
• Y = AB + AB
= B(A + A)T8
= B(1) T5’
= B T1
Упрощение булевых выражений
Пример 1:
• Y = A(AB + ABC)
Пример 2:
Упрощение булевых выражений
• Y = A(AB + ABC)
= A(AB(1 + C)) T8
= A(AB(1)) T2’
= A(AB) T1
= (AA)B T7
= AB T3
Пример 2:
Упрощение булевых выражений
A
B
Y
A
B
Y
• Y = AB = A + B
• Y = A + B = A B
A
B
Y
A
B
Y
Теорема де Моргана
 Назад:
– Изменить тип элемента
– Добавить инверсию на входы
 Вперед:
– Изменить тип элемента
– Добавить инверсию на выход
A
B
Y
A
B
Y
A
B
Y
A
B
Y
Перемещение инверсии
A
B
Y
C
D
• Запишите булево выражение для этой схемы
Перемещение инверсии
A
B
Y
C
D
 Запишите булево выражение для этой схемы
Y = AB + CD
Перемещение инверсии
A
B
C
D
Y
 Начать с выхода и двигаться в направлении входов.
 Перемещать инверсию от выходов ко входам.
 Нарисовать элементы так, чтобы увидеть, что инверсии
взаимно уничтожаются.
Правила перемещения инверсии
A
B
C Y
D
Пример перемещения инверсии
A
B
C Y
D
no output
bubble
Пример перемещения инверсии
bubble on
input and output
A
B
C
D
Y
A
B
C Y
D
no output
bubble
Пример перемещения инверсии
A
B
C
D
Y
bubble on
input and output
A
B
C
D
Y
A
B
C Y
D
Y = ABC + D
no output
bubble
no bubble on
input and output
Пример перемещения инверсии
B
A C
Y
minterm: ABC
minterm: ABC
minterm: ABC
A B C
 Двухуровневая цифровая схема Сначала элементы И, затем ИЛИ
 Пример: Y = ABC + ABC + ABC
От логики к логическим элементам
 Входы слева (или сверху).
 Выходы справа (или внизу).
 Информация передается от элементов,
расположенных слева, к элементам,
расположенным справа.
 Для проводников стараться использовать прямые
линии.
Правила изображения принципиальных схем
wires connect
at a T junction
wires connect
at a dot
wires crossing
without a dot do
not connect
 Проводники всегда должны соединяться в виде буквы Т.
 Точка в месте пересечения проводников обозначает их
соединение.
 Проводники, пересекающиеся без точки, не имеют
соединения друг с другом.
Правила изображения принципиальных схем
A1 A0
0 0
0 1
1 0
1 1
Y3 Y2 Y1 Y0
A3 A2
0 0
0 0
0 0
0 0
0 0
0 1
0 1
1 0
1 1
0 0
0 1
0 1
0 1
1 0
0 1
1 0
1 0
1 1
0 0
0 1
1 0
1 0
1 1
1 1
1 0
1 1
1 1
1 1
A0
A1
PRIORITY
CiIRCUIT
A2
A3
Y0
Y1
Y2
Y3
• Пример: Схема приоритета
Выход устанавливается
в соответствии
со старшим разрядом
который равен ИСТИНЕ
Схемы с несколькими выходами
0
A1 A0
0 0
0 1
1 0
1 1
0
0
0
Y3 Y2 Y1 Y0
0
0
0
0
0
0
1
1
0
1
0
0
A3 A2
0 0
0 0
0 0
0 0
0 0 0 1 0 0
0 1
0 1
1 0
1 1
0 0
0 1
0 1
0 1
1 0
0 1
1 0
1 0
1 1
0 0
0 1
1 0
1 0
1 1
1 1
1 0
1 1
1 1
1 1
0
0
0
1
1
1
1
0
0
0
0
0
0
0
0
0
1 0 0 0
1
1
1
1
0
0
0
0
0
0
0
0
0
0
0
0
1 0 0 0
1 0 0 0
A0
A1
PRIORITY
CiIRCUIT
A2
A3
Y0
Y1
Y2
Y3
• Пример: Схема приоритета
Выход устанавливается
в соответствии
со старшим входом
который равен ИСТИНЕ
Схемы с несколькими выходами
A1 A0
0 0
0 1
1 0
1 1
0
0
0
0
Y3 Y2 Y1 Y0
0
0
0
0
0
0
1
1
0
1
0
0
A3 A2
0 0
0 0
0 0
0 0
0 0 0 1 0 0
0 1
0 1
1 0
1 1
0 0
0 1
0 1
0 1
1 0
0 1
1 0
1 0
1 1
0 0
0 1
1 0
1 0
1 1
1 1
1 0
1 1
1 1
1 1
0
0
0
1
1
1
1
0
0
0
0
0
0
0
0
0
1 0 0 0
1
1
1
1
0
0
0
0
0
0
0
0
0
0
0
0
1 0 0 0
1 0 0 0
A3
A2
A1
A0
Y3
Y2
Y1
Y0
Реализация схемы приоритета
A1 A0
0 0
0 1
1 0
1 1
0
0
0
0
Y3 Y2 Y1 Y0
0
0
0
0
0
0
1
1
0
1
0
0
A3 A2
0 0
0 0
0 0
0 0
0 0 0 1 0 0
0 1
0 1
1 0
1 1
0 0
0 1
0 1
0 1
1 0
0 1
1 0
1 0
1 1
0 0
0 1
1 0
1 0
1 1
1 1
1 0
1 1
1 1
1 1
0
0
0
1
1
1
1
0
0
0
0
0
0
0
0
0
1 0 0 0
1
1
1
1
0
0
0
0
0
0
0
0
0
0
0
0
1 0 0 0
1 0 0 0
A1 A0
0 0
0 1
1 X
X X
0
0
0
0
Y3 Y2 Y1 Y0
0
0
0
1
0
0
1
0
0
1
0
0
A3 A2
0 0
0 0
0 0
0 1
X X 1 0 0 0
1 X
Безразличное значение
 Конфликтом: схема пытается установить на выходе одновременно 0 и 1
– Действительное значение находится где-то между
– Может быть 0, 1 или в запрещенной диапазоне
– Может зависеть от напряжения, температуры, времени, шума
– Часто вызывает большое энергопотребление
 Предупреждение:
– Конфликт обычно является ошибкой
– В зависимости от контекста X обозначает безразличное значение
или конфликт
A = 1
Y = X
B = 0
Конфликт: X
 Третье состояние, состояние высокого импеданса, неподключенная или
плавающая цепь.
 Состояние неподключенного выхода может быть 0, 1 или быть
промежуточным.
– Вольтметр не показывает что узел находится в плавающем состоянии.
Буфер с тремя состояниями
E A Y
0 0 Z
0 1 Z
1 0 0
1 1 1
A
E
Y
Третье состояние: Z
 Состояние высокого импеданса
используется для создания шин.
– Несколько микросхем подключены
к шине.
– Но активной в некоторый момент
может быть одна и только одна.
en1
to bus
frombus
en2
to bus
frombus
en3
to bus
frombus
en4
to bus
frombus
shared
bus
processor
video
Ethernet
memory
Шины с тремя состояниями
 Булевы выражения можно упростить путем
комбинирования термов.
 Карты Карно позволяют наглядно минимизировать
выражение.
 PA + PA = P
C
00 01
0
1
Y
11 10
AB
1
1
0
0
0
0
0
0
C 00 01
0
1
Y
11 10
AB
ABC
ABC
ABC
ABC
ABC
ABC
ABC
ABC
B C
0 0
0 1
1 0
1 1
A
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
0
0
0
0
0
0
Y
Карты Карно
 Обведите овалом 1 в соседних квадратах.
 В булево выражение включаются только те литералы,
прямая и инверсная форма которых не попадает в овал.
Y = AB
C
00 01
0
1
Y
11 10
AB
1
0
0
0
0
0
0
1
B C
0 0
0 1
1 0
1 1
A
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
0
0
0
0
0
0
Y
Карты Карно
C 00 01
0
1
Y
11 10
AB
ABC
ABC
ABC
ABC
ABC
ABC
ABC
ABC
1
B C Y
0 0 0
0 1 0
1 0
1 1 1
Truth Table
C 00 01
0
1
Y
11 10
AB
A
0
0
0
0
0 0 0
0 1 0
1 0 0
1 1 1
1
1
1
1
K-Map
Карты Карно - три входа
C 00 01
0
1
Y
11 10
AB
ABC
ABC
ABC
ABC
ABC
ABC
ABC
ABC
1 0
B C Y
0 0 0
0 1 0
1 0
1 1 1
Truth Table
C 00 01
0
1
Y
11 10
AB
A
0
0
0
0
0 0 0
0 1 0
1 0 0
1 1 1
1
1
1
1
0
1
1
1
0
0
0
K-Map
Y = AB + BC
Карты Карно - три входа
• Дополнение: переменная с чертой над именем
A, B, C
• Литерал: Литерал: переменная или ее дополнение.
A, A, B, B, C, C
• Импликанта: произведение литералов
ABC, AC, BC
• Первичная импликанта: импликанта, соответствующая
наибольшему овалу на карте Карно.
Построение карты Карно
 Каждая 1 должна входить хотя бы в один овал
 Каждый овал должен охватывать блок, число
клеток которого в каждом направлении равно
степени двойки (то есть 1, 2 или 4)
 Каждый овал должен настолько большим,
насколько это возможно
 Овал может связывать края карты Карно
 Безразличные значения (X) могут входить в овал,
если это помогает минимизировать выражение
Правила карт Карно
01 11
01
11
10
00
00
10
AB
CD
Y
0
C D
0 0
0 1
1 0
1 1
B
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
1
0
1
1
1
Y
A
0
0
0
0
0
0
0
0
0 0
0 1
1 0
1 1
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
0
0
0
0
0
Карты Карно - четыре входа
01 11
1
0
0
1
0
0
1
1
01
1
1
1
1
0
0
0
1
11
10
00
00
10
AB
CD
Y
0
C D
0 0
0 1
1 0
1 1
B
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
1
0
1
1
1
Y
A
0
0
0
0
0
0
0
0
0 0
0 1
1 0
1 1
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
0
0
0
0
0
Карты Карно - четыре входа
01 11
1
0
0
1
0
0
1
1
01
1
1
1
1
0
0
0
1
11
10
00
00
10
AB
CD
Y
Y = AC + ABD + ABC + BD
0
C D
0 0
0 1
1 0
1 1
B
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
1
0
1
1
1
Y
A
0
0
0
0
0
0
0
0
0 0
0 1
1 0
1 1
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
0
0
0
0
0
Карты Карно - четыре входа
0
C D
0 0
0 1
1 0
1 1
B
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
1
0
X
1
1
Y
A
0
0
0
0
0
0
0
0
0 0
0 1
1 0
1 1
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
X
X
X
X
X
X
01 11
01
11
10
00
00
10
AB
CD
Y
Карты Карно и безразличные значения
0
C D
0 0
0 1
1 0
1 1
B
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
1
0
X
1
1
Y
A
0
0
0
0
0
0
0
0
0 0
0 1
1 0
1 1
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
X
X
X
X
X
X
01 11
1
0
0
X
X
X
1
1
01
1
1
1
1
X
X
X
X
11
10
00
00
10
AB
CD
Y
Карты Карно и безразличные значения
0
C D
0 0
0 1
1 0
1 1
B
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
1
0
X
1
1
Y
A
0
0
0
0
0
0
0
0
0 0
0 1
1 0
1 1
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
X
X
X
X
X
X
01 11
1
0
0
X
X
X
1
1
01
1
1
1
1
X
X
X
X
11
10
00
00
10
AB
CD
Y
Y = A + BD + C
Карты Карно и безразличные значения
 Мультиплексоры
 Дешифраторы
Базовые комбинационные блоки
 Выбирает один из N входов и соединяет его с выходом
 log2N-бит для выбора входа – вход управления (выбора)
• Пример: 2:1 Mux
Y
0 0
0 1
1 0
1 1
0
1
0
1
0
0
0
0
0 0
0 1
1 0
1 1
1
1
1
1
0
0
1
1
0
1
S
D0
Y
D1
D1 D0
S Y
0
1 D1
D0
S
Мультиплексор (Mux)
Y
D0
S
D1 2-<69>
• Используя логические
элементы
– Дизъюнктивная форма
D1
Y
D0
S
S
00 01
0
1
Y
11 10
D0
D1
0
0
0
1
1
1
1
0
Y = D0
S + D1
S
• Используя буферы с
тремя состояниями
– Для N-входового мультиплексора
используется N буферов с тремя
состояниями
– Один и только один их них
включается для выбора
соответствующего входа
Реализация мультиплексоров
A B Y
0 0 0
0 1 0
1 0 0
1 1 1
Y = AB
00
Y
01
10
11
A B
• Использование мультиплексоров как таблиц
преобразования
Цифровые схемы на основе мультиплексоров
A B Y
0 0 0
0 1 0
1 0 0
1 1 1
Y = AB
A Y
0
1
0 0
1
A
B
Y
B
• Уменьшение размера мультиплексора
Цифровые схемы на основе мультиплексоров
2:4
Decoder
A1
A0
Y3
Y2
Y1
Y0
00
01
10
11
0 0
0 1
1 0
1 1
0
0
0
1
Y3
Y2
Y1
Y0
A0
A1
0
0
1
0
0
1
0
0
1
0
0
0
 N входов, 2N выходов
 Прямой унитарный код: только один выход принимает
значение ИСТИНА
Дешифраторы
Y3
Y2
Y1
Y0
A0
A1
Реализация дешифраторов
2:4
Decoder
A
B
00
01
10
11
Y = AB + AB
Y
AB
AB
AB
AB
Minterm
= A  B
 Функция ИЛИ от минтермов
Цифровые схемы на основе дешифраторов
A
Y
Time
delay
A Y
 Задержка между изменением входа и
соответствующим изменением выхода
 Как проектировать быстрые схемы?
Временные характеристики
A
Y
Time
A Y
tpd
tcd
• Задержка распространения tpd = максимальная
задержка тракта вход-выход
• Задержка реакции tcd = минимальная задержка тракта
вход-выход
Задержки распространения и реакции
• Задержки обусловлены
– Емкостями и сопротивлениями в цепях
– Конечностью скорости света
• Причины, по которым tpd и tcd могут различаться
– Разные задержки нарастания и спада сигнала
– Несколько входов и выходов, одни из которых
быстрее, чем другие
– Замедление работы схемы при повышении
температуры и ускорение при охлаждении
Задержки распространения и реакции
A
B
C
D Y
Critical Path
Short Path
n1
n2
Критический (длинный) путь tpd = 2tpd_AND + tpd_OR
Кратчайший путь tcd = tcd_AND
Критический (длинный) и кратчайший пути
• Одиночное изменение на входного сигнала
вызывает несколько изменений сигнала на
выходе.
Импульсные помехи
A
B
C
Y
00 01
1
Y
11 10
AB
1
1
0
1
0
1
0
0
C
0
Y = AB + BC
• Что происходит когда A = 0, C = 1, а B изменяется с 1 до
0?
Пример импульсной помехи
A = 0
B = 1 0
C = 1
Y = 1 0 1
Short Path
Critical Path
B
Y
Time
1 0
0 1
glitch
n1
n2
n2
n1
Пример импульсной помехи
00 01
1
Y
11 10
AB
1
1
0
1
0
1
0
0
C
0
Y = AB + BC + AC
AC
B = 1 0
Y = 1
A = 0
C = 1
Борьба с импульсными помехами
• Импульсные помехи не являются серьезной
проблемой при проектировании синхронных схем
(глава 3)
• Важно уметь распознавать их при моделировании
или на экране осциллографа.
• От всех импульсных помех невозможно избавиться
- одновременные изменения нескольких входов
могут привести к появлению таких помех.
Почему импульсные помехи важны?

More Related Content

What's hot

20071111 efficientalgorithms kulikov_lecture05
20071111 efficientalgorithms kulikov_lecture0520071111 efficientalgorithms kulikov_lecture05
20071111 efficientalgorithms kulikov_lecture05
Computer Science Club
 
генераторы псевдослучайных последовательностей и шифрование методом гаммирования
генераторы псевдослучайных последовательностей и шифрование методом гаммированиягенераторы псевдослучайных последовательностей и шифрование методом гаммирования
генераторы псевдослучайных последовательностей и шифрование методом гаммирования
hmyrhik nikita
 
Лекция №3. Свойства и моделирование стандартных схем программ. Предмет "Теори...
Лекция №3. Свойства и моделирование стандартных схем программ. Предмет "Теори...Лекция №3. Свойства и моделирование стандартных схем программ. Предмет "Теори...
Лекция №3. Свойства и моделирование стандартных схем программ. Предмет "Теори...
Nikolay Grebenshikov
 
Лекция №1. Введение. Предмет "Теория вычислительных процессов"
Лекция №1. Введение. Предмет "Теория вычислительных процессов"Лекция №1. Введение. Предмет "Теория вычислительных процессов"
Лекция №1. Введение. Предмет "Теория вычислительных процессов"
Nikolay Grebenshikov
 
Лекция №2. Алгоритмические проблемы. Стандартные схемы программ. Предмет "Тео...
Лекция №2. Алгоритмические проблемы. Стандартные схемы программ. Предмет "Тео...Лекция №2. Алгоритмические проблемы. Стандартные схемы программ. Предмет "Тео...
Лекция №2. Алгоритмические проблемы. Стандартные схемы программ. Предмет "Тео...
Nikolay Grebenshikov
 

What's hot (9)

20071111 efficientalgorithms kulikov_lecture05
20071111 efficientalgorithms kulikov_lecture0520071111 efficientalgorithms kulikov_lecture05
20071111 efficientalgorithms kulikov_lecture05
 
генераторы псевдослучайных последовательностей и шифрование методом гаммирования
генераторы псевдослучайных последовательностей и шифрование методом гаммированиягенераторы псевдослучайных последовательностей и шифрование методом гаммирования
генераторы псевдослучайных последовательностей и шифрование методом гаммирования
 
Программирование разветвляющихся алгоритмов
Программирование разветвляющихся алгоритмовПрограммирование разветвляющихся алгоритмов
Программирование разветвляющихся алгоритмов
 
Разбор задач пятого модуля
Разбор задач пятого модуляРазбор задач пятого модуля
Разбор задач пятого модуля
 
Лекция №3. Свойства и моделирование стандартных схем программ. Предмет "Теори...
Лекция №3. Свойства и моделирование стандартных схем программ. Предмет "Теори...Лекция №3. Свойства и моделирование стандартных схем программ. Предмет "Теори...
Лекция №3. Свойства и моделирование стандартных схем программ. Предмет "Теори...
 
Лекция №1. Введение. Предмет "Теория вычислительных процессов"
Лекция №1. Введение. Предмет "Теория вычислительных процессов"Лекция №1. Введение. Предмет "Теория вычислительных процессов"
Лекция №1. Введение. Предмет "Теория вычислительных процессов"
 
Элементы дискретной математики для программистов
Элементы дискретной математики для программистовЭлементы дискретной математики для программистов
Элементы дискретной математики для программистов
 
Theme 02
Theme 02Theme 02
Theme 02
 
Лекция №2. Алгоритмические проблемы. Стандартные схемы программ. Предмет "Тео...
Лекция №2. Алгоритмические проблемы. Стандартные схемы программ. Предмет "Тео...Лекция №2. Алгоритмические проблемы. Стандартные схемы программ. Предмет "Тео...
Лекция №2. Алгоритмические проблемы. Стандартные схемы программ. Предмет "Тео...
 

Similar to [DD] 3. Combinational circuits

поразрядные логические операции
поразрядные логические операциипоразрядные логические операции
поразрядные логические операции
Елена Ключева
 
19
1919
19
JIuc
 
егэ часть а
егэ часть аегэ часть а
егэ часть а
dasha2012
 

Similar to [DD] 3. Combinational circuits (12)

S2 boolean amp-amp_complex_gates
S2 boolean amp-amp_complex_gatesS2 boolean amp-amp_complex_gates
S2 boolean amp-amp_complex_gates
 
9 1.3 - элементы алгебры логики
9 1.3 - элементы алгебры логики9 1.3 - элементы алгебры логики
9 1.3 - элементы алгебры логики
 
поразрядные логические операции
поразрядные логические операциипоразрядные логические операции
поразрядные логические операции
 
19
1919
19
 
Элементы алгебры логики
Элементы алгебры логикиЭлементы алгебры логики
Элементы алгебры логики
 
[DD] 2. Integrated circuits
[DD] 2. Integrated circuits[DD] 2. Integrated circuits
[DD] 2. Integrated circuits
 
S3 karnaugh maps-full
S3 karnaugh maps-fullS3 karnaugh maps-full
S3 karnaugh maps-full
 
ОПК № 3 – Машинное представление целых чисел, символов, строк
ОПК № 3 – Машинное представление целых чисел, символов, строкОПК № 3 – Машинное представление целых чисел, символов, строк
ОПК № 3 – Машинное представление целых чисел, символов, строк
 
Kod chisl
Kod chislKod chisl
Kod chisl
 
Definite integral
Definite integralDefinite integral
Definite integral
 
егэ часть а
егэ часть аегэ часть а
егэ часть а
 
логика к.поляков
логика к.поляковлогика к.поляков
логика к.поляков
 

More from Gabit Altybaev

More from Gabit Altybaev (19)

[FEE] 9. Introduction to Digital Electronics. Logic gates
[FEE] 9. Introduction to Digital Electronics. Logic gates[FEE] 9. Introduction to Digital Electronics. Logic gates
[FEE] 9. Introduction to Digital Electronics. Logic gates
 
[FEE] 8. Optoelectronic devices
[FEE] 8. Optoelectronic devices[FEE] 8. Optoelectronic devices
[FEE] 8. Optoelectronic devices
 
[FEE] 7. Thyristors
[FEE] 7. Thyristors[FEE] 7. Thyristors
[FEE] 7. Thyristors
 
[FEE] 6. Field effect transistors
[FEE] 6. Field effect transistors[FEE] 6. Field effect transistors
[FEE] 6. Field effect transistors
 
[FEE] 5. Bipolar Transistor Amplifiers
[FEE] 5. Bipolar Transistor Amplifiers[FEE] 5. Bipolar Transistor Amplifiers
[FEE] 5. Bipolar Transistor Amplifiers
 
[FEE] 4. Bipolar transistors
[FEE] 4. Bipolar transistors[FEE] 4. Bipolar transistors
[FEE] 4. Bipolar transistors
 
[DD] 12. Arithmetic logic device
[DD] 12. Arithmetic logic device[DD] 12. Arithmetic logic device
[DD] 12. Arithmetic logic device
 
[DD] 11. Converters
[DD] 11. Converters[DD] 11. Converters
[DD] 11. Converters
 
[DD] 10. Memory
[DD] 10. Memory[DD] 10. Memory
[DD] 10. Memory
 
[DD] 9. Programmable Logic IC
[DD] 9. Programmable Logic IC[DD] 9. Programmable Logic IC
[DD] 9. Programmable Logic IC
 
[DD] 8. Automatic machines
[DD] 8. Automatic machines[DD] 8. Automatic machines
[DD] 8. Automatic machines
 
[DD] 7. Synchronous counters
[DD] 7. Synchronous counters[DD] 7. Synchronous counters
[DD] 7. Synchronous counters
 
[DD] 6. Asynchronous counters
[DD] 6. Asynchronous counters[DD] 6. Asynchronous counters
[DD] 6. Asynchronous counters
 
[DD] 5. Registers
[DD] 5. Registers[DD] 5. Registers
[DD] 5. Registers
 
[DD] 4. Triggers
[DD] 4. Triggers[DD] 4. Triggers
[DD] 4. Triggers
 
[FEE] 3. Semiconductor diodes
[FEE] 3. Semiconductor diodes[FEE] 3. Semiconductor diodes
[FEE] 3. Semiconductor diodes
 
[FEE] 2. Electrical transitions in semiconductor devices
[FEE] 2. Electrical transitions in semiconductor devices[FEE] 2. Electrical transitions in semiconductor devices
[FEE] 2. Electrical transitions in semiconductor devices
 
[FEE] 1. Semi-conductor devices
[FEE] 1. Semi-conductor devices[FEE] 1. Semi-conductor devices
[FEE] 1. Semi-conductor devices
 
[DD] 1. Basics of digital design
[DD] 1. Basics of digital design[DD] 1. Basics of digital design
[DD] 1. Basics of digital design
 

[DD] 3. Combinational circuits

  • 3. План лекции: • Введение • Булевы выражения • Булева алгебра • От логики к логическим элементам • Многоуровневая комбинационная логика • Что за X и Z? • Карты Карно • Базовые комбинационные блоки • Временные характеристики
  • 4. Введение Логическая схема состоит из: • Входов • Выходов • Функциональной спецификации • Временной спецификации inputs outputs functional spec timing spec
  • 5. • Узлы – Входы: A, B, C – Выходы: Y, Z – Внутренний узел: n1 • Элементы схемы – E1, E2, E3 – Каждый их них, в свою очередь, является схемой A E1 E2 E3 B C n1 Y Z Схемы
  • 6. inputs outputs functional spec timing spec  Комбинационные цифровые схемы – Не имеют памяти – Выход определяется текущим состоянием входов  Последовательностные цифровые схемы – Имеют память – Выход определяется текущим и предыдущим состоянием входов Типы цифровых схем
  • 7. • Каждый элемент сам является комбинационным. • Каждое узел схемы является или входом, или подсоединен к одному-единственному выходу другого элемента. • Схема не содержит циклических путей. • Пример: Правила комбинационной композиции
  • 8. • Функциональная спецификация выходов по значениям входов. • Пример: S = F(A, B, Cin) Cout = F(A, B, Cin) A S S = A  B  Cin Cout = AB + ACin + BCin B Cin C L Cout Булевы выражения
  • 9. • Дополнение: переменная с чертой над именем A, B, C • Литерал: переменная или ее дополнение A, A, B, B, C, C • Импликанта: произведение литералов ABC, AC, BC • Минтерм: произведение, в которое входят литералы всех входных переменных ABC, ABC, ABC • Макстерм: сумма, в которую входят литералы всех входных переменных (A+B+C), (A+B+C), (A+B+C) Некоторые определения
  • 10. Y = F(A, B) = • Все выражения могут быть записаны в дизъюнктивной форме. • Каждой строке соответствует минтерм. • Минтерм является произведением (И, AND) литералов. • Каждый минтерм становится ИСТИННЫМ только для своей строки. • Функция записывается путем суммирования минтермов тех строк, для которых выход равен ИСТИНЕ. • Таким образом, формируется сумма (ИЛИ, OR) произведений (И, AND). Дизъюнктивная форма A B Y 0 0 0 1 1 0 1 1 0 1 0 1 minterm A B A B A B A B minterm name m0 m1 m2 m3
  • 11. Y = F(A, B) = Дизъюнктивная форма • Все выражения могут быть записаны в дизъюнктивной форме. • Каждой строке соответствует минтерм. • Минтерм является произведением (AND) литералов. • Каждый минтерм становится ИСТИННЫМ только для своей строки. • Функция является суммой минтермов тех строк, для которых выход равен ИСТИНЕ. • Таким образом, формируется сумма (ИЛИ, OR) произведений (И, AND). A B Y 0 0 0 1 1 0 1 1 0 1 0 1 minterm A B A B A B A B minterm name m0 m1 m2 m3
  • 12. Y = F(A, B) = AB + AB = Σ(1, 3) Дизъюнктивная форма • Все выражения могут быть записаны в дизъюнктивной форме • Каждой строке соответствует минтерм • Минтерм является произведением (И, AND) литералов • Каждый минтерм становится ИСТИННЫМ только для своей строки • Функция записывается путем суммирования минтермов тех строк, для которых выход равен ИСТИНЕ • Таким образом, формируется сумма (ИЛИ, OR) произведений (И, AND) A B Y 0 0 0 1 1 0 1 1 0 1 0 1 minterm A B A B A B A B minterm name m0 m1 m2 m3
  • 13. Y = F(A, B) = (A + B)(A + B) = Π(0, 2) • Все булевы выражения могут быть записаны в конъюнктивной форме. • Каждой строке соответствует макстерм. • Макстерм является суммой (ИЛИ, OR) литералов. • Каждый макстерм становится ЛОЖНЫМ только для своей строки. • Функция является произведением макстермов тех строк, для которых выход равен ЛОЖЬ. • Таким образом, формируется произведение (И, AND) сумм (ИЛИ, OR). Конъюнктивная форма A + B A B Y 0 0 0 1 1 0 1 1 0 1 0 1 maxterm A + B A + B A + B maxterm name M0 M1 M2 M3
  • 14.  Вы собираетесь в кафетерий пообедать – Вы не пообедаете (E) – Там закрыто (не открыто, O) или – Они предлагают только корн-доги (C).  Запишите таблицу истинности, по которой можно определить пообедаете ли вы (E). O C E 0 0 0 1 1 0 1 1 Примеры булевых выражений
  • 15.  Вы собираетесь в кафетерий пообедать – Вы не пообедаете (E) – Там закрыто (не открыто, O) или – Они предлагают только корн-доги (C).  Запишите таблицу истинности, по которой можно определить пообедаете ли вы (E). Примеры булевых выражений O C E 0 0 0 1 1 0 1 1 0 0 1 0
  • 16.  Дизъюнктивная форма (SOP, sum-of-products) сумма (ИЛИ) произведений (И)  Конъюнктивная форма (POS, product-of-sums) - произведение (И) сумм (ИЛИ) O C E 0 0 0 1 1 0 1 1 minterm O C O C O C O C O + C O C Y 0 0 0 1 1 0 1 1 maxterm O + C O + C O + C Дизъюнктивная и конъюнктивная формы
  • 17.  Дизъюнктивная форма (SOP, sum-of-products) – сумма (ИЛИ) произведений (И)  Конъюнктивная форма (POS, product-of-sums) – произведение (И) сумм (ИЛИ) O + C O C E 0 0 0 1 1 0 1 1 0 0 1 0 maxterm O + C O + C O + C O C E 0 0 0 1 1 0 1 1 0 0 1 0 minterm O C O C O C O C Y = (O + C)(O + C)(O + C) = Π(0, 1, 3) Y = OC = Σ(2) Дизъюнктивная и конъюнктивная формы
  • 18. • Аксиомы и теоремы позволяют упрощать булевы выражения • Подобно обычной алгебре, но проще: переменные принимают только два значения (0 или 1) • Двойственность аксиом и теорем: – Можно взаимно заменить И и ИЛИ, 0 и 1 Булева алгебра
  • 20.  B 1 = B  B + 0 = B T1: Теорема об идентичности
  • 21. 1 = = B 0 B B B  B 1 = B  B + 0 = B T1: Теорема об идентичности
  • 22.  B 0 = 0  B + 1 = 1 T2: Теорема о нулевом элементе
  • 23. 0 = = B 1 B 1 0 • B 0 = 0 • B + 1 = 1 T2: Теорема о нулевом элементе
  • 24. • B B = B • B + B = B T3: Теорема об идемпотентности
  • 25. B = = B B B B B  B B = B  B + B = B T3: Теорема об идемпотентности
  • 26.  B = B T4: Теорема об инволюции
  • 27. = B B • B = B T4: Теорема об инволюции
  • 28. • B B = 0 • B + B = 1 T5: Теорема о дополнительности
  • 29. B = = B B B 1 0 • B B = 0 • B + B = 1 T5: Теорема о дополнительности
  • 32. • Y = AB + AB Упрощение булевых выражений Пример 1:
  • 33. • Y = AB + AB = B(A + A)T8 = B(1) T5’ = B T1 Упрощение булевых выражений Пример 1:
  • 34. • Y = A(AB + ABC) Пример 2: Упрощение булевых выражений
  • 35. • Y = A(AB + ABC) = A(AB(1 + C)) T8 = A(AB(1)) T2’ = A(AB) T1 = (AA)B T7 = AB T3 Пример 2: Упрощение булевых выражений
  • 36. A B Y A B Y • Y = AB = A + B • Y = A + B = A B A B Y A B Y Теорема де Моргана
  • 37.  Назад: – Изменить тип элемента – Добавить инверсию на входы  Вперед: – Изменить тип элемента – Добавить инверсию на выход A B Y A B Y A B Y A B Y Перемещение инверсии
  • 38. A B Y C D • Запишите булево выражение для этой схемы Перемещение инверсии
  • 39. A B Y C D  Запишите булево выражение для этой схемы Y = AB + CD Перемещение инверсии
  • 40. A B C D Y  Начать с выхода и двигаться в направлении входов.  Перемещать инверсию от выходов ко входам.  Нарисовать элементы так, чтобы увидеть, что инверсии взаимно уничтожаются. Правила перемещения инверсии
  • 42. A B C Y D no output bubble Пример перемещения инверсии
  • 43. bubble on input and output A B C D Y A B C Y D no output bubble Пример перемещения инверсии
  • 44. A B C D Y bubble on input and output A B C D Y A B C Y D Y = ABC + D no output bubble no bubble on input and output Пример перемещения инверсии
  • 45. B A C Y minterm: ABC minterm: ABC minterm: ABC A B C  Двухуровневая цифровая схема Сначала элементы И, затем ИЛИ  Пример: Y = ABC + ABC + ABC От логики к логическим элементам
  • 46.  Входы слева (или сверху).  Выходы справа (или внизу).  Информация передается от элементов, расположенных слева, к элементам, расположенным справа.  Для проводников стараться использовать прямые линии. Правила изображения принципиальных схем
  • 47. wires connect at a T junction wires connect at a dot wires crossing without a dot do not connect  Проводники всегда должны соединяться в виде буквы Т.  Точка в месте пересечения проводников обозначает их соединение.  Проводники, пересекающиеся без точки, не имеют соединения друг с другом. Правила изображения принципиальных схем
  • 48. A1 A0 0 0 0 1 1 0 1 1 Y3 Y2 Y1 Y0 A3 A2 0 0 0 0 0 0 0 0 0 0 0 1 0 1 1 0 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 1 1 0 1 1 1 1 1 1 A0 A1 PRIORITY CiIRCUIT A2 A3 Y0 Y1 Y2 Y3 • Пример: Схема приоритета Выход устанавливается в соответствии со старшим разрядом который равен ИСТИНЕ Схемы с несколькими выходами
  • 49. 0 A1 A0 0 0 0 1 1 0 1 1 0 0 0 Y3 Y2 Y1 Y0 0 0 0 0 0 0 1 1 0 1 0 0 A3 A2 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 1 0 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 1 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 A0 A1 PRIORITY CiIRCUIT A2 A3 Y0 Y1 Y2 Y3 • Пример: Схема приоритета Выход устанавливается в соответствии со старшим входом который равен ИСТИНЕ Схемы с несколькими выходами
  • 50. A1 A0 0 0 0 1 1 0 1 1 0 0 0 0 Y3 Y2 Y1 Y0 0 0 0 0 0 0 1 1 0 1 0 0 A3 A2 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 1 0 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 1 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 A3 A2 A1 A0 Y3 Y2 Y1 Y0 Реализация схемы приоритета
  • 51. A1 A0 0 0 0 1 1 0 1 1 0 0 0 0 Y3 Y2 Y1 Y0 0 0 0 0 0 0 1 1 0 1 0 0 A3 A2 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 1 0 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 1 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 A1 A0 0 0 0 1 1 X X X 0 0 0 0 Y3 Y2 Y1 Y0 0 0 0 1 0 0 1 0 0 1 0 0 A3 A2 0 0 0 0 0 0 0 1 X X 1 0 0 0 1 X Безразличное значение
  • 52.  Конфликтом: схема пытается установить на выходе одновременно 0 и 1 – Действительное значение находится где-то между – Может быть 0, 1 или в запрещенной диапазоне – Может зависеть от напряжения, температуры, времени, шума – Часто вызывает большое энергопотребление  Предупреждение: – Конфликт обычно является ошибкой – В зависимости от контекста X обозначает безразличное значение или конфликт A = 1 Y = X B = 0 Конфликт: X
  • 53.  Третье состояние, состояние высокого импеданса, неподключенная или плавающая цепь.  Состояние неподключенного выхода может быть 0, 1 или быть промежуточным. – Вольтметр не показывает что узел находится в плавающем состоянии. Буфер с тремя состояниями E A Y 0 0 Z 0 1 Z 1 0 0 1 1 1 A E Y Третье состояние: Z
  • 54.  Состояние высокого импеданса используется для создания шин. – Несколько микросхем подключены к шине. – Но активной в некоторый момент может быть одна и только одна. en1 to bus frombus en2 to bus frombus en3 to bus frombus en4 to bus frombus shared bus processor video Ethernet memory Шины с тремя состояниями
  • 55.  Булевы выражения можно упростить путем комбинирования термов.  Карты Карно позволяют наглядно минимизировать выражение.  PA + PA = P C 00 01 0 1 Y 11 10 AB 1 1 0 0 0 0 0 0 C 00 01 0 1 Y 11 10 AB ABC ABC ABC ABC ABC ABC ABC ABC B C 0 0 0 1 1 0 1 1 A 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 Y Карты Карно
  • 56.  Обведите овалом 1 в соседних квадратах.  В булево выражение включаются только те литералы, прямая и инверсная форма которых не попадает в овал. Y = AB C 00 01 0 1 Y 11 10 AB 1 0 0 0 0 0 0 1 B C 0 0 0 1 1 0 1 1 A 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 Y Карты Карно
  • 57. C 00 01 0 1 Y 11 10 AB ABC ABC ABC ABC ABC ABC ABC ABC 1 B C Y 0 0 0 0 1 0 1 0 1 1 1 Truth Table C 00 01 0 1 Y 11 10 AB A 0 0 0 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 1 1 K-Map Карты Карно - три входа
  • 58. C 00 01 0 1 Y 11 10 AB ABC ABC ABC ABC ABC ABC ABC ABC 1 0 B C Y 0 0 0 0 1 0 1 0 1 1 1 Truth Table C 00 01 0 1 Y 11 10 AB A 0 0 0 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 1 1 0 1 1 1 0 0 0 K-Map Y = AB + BC Карты Карно - три входа
  • 59. • Дополнение: переменная с чертой над именем A, B, C • Литерал: Литерал: переменная или ее дополнение. A, A, B, B, C, C • Импликанта: произведение литералов ABC, AC, BC • Первичная импликанта: импликанта, соответствующая наибольшему овалу на карте Карно. Построение карты Карно
  • 60.  Каждая 1 должна входить хотя бы в один овал  Каждый овал должен охватывать блок, число клеток которого в каждом направлении равно степени двойки (то есть 1, 2 или 4)  Каждый овал должен настолько большим, насколько это возможно  Овал может связывать края карты Карно  Безразличные значения (X) могут входить в овал, если это помогает минимизировать выражение Правила карт Карно
  • 61. 01 11 01 11 10 00 00 10 AB CD Y 0 C D 0 0 0 1 1 0 1 1 B 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 0 1 1 1 Y A 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 Карты Карно - четыре входа
  • 62. 01 11 1 0 0 1 0 0 1 1 01 1 1 1 1 0 0 0 1 11 10 00 00 10 AB CD Y 0 C D 0 0 0 1 1 0 1 1 B 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 0 1 1 1 Y A 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 Карты Карно - четыре входа
  • 63. 01 11 1 0 0 1 0 0 1 1 01 1 1 1 1 0 0 0 1 11 10 00 00 10 AB CD Y Y = AC + ABD + ABC + BD 0 C D 0 0 0 1 1 0 1 1 B 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 0 1 1 1 Y A 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 Карты Карно - четыре входа
  • 64. 0 C D 0 0 0 1 1 0 1 1 B 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 0 X 1 1 Y A 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 X X X X X X 01 11 01 11 10 00 00 10 AB CD Y Карты Карно и безразличные значения
  • 65. 0 C D 0 0 0 1 1 0 1 1 B 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 0 X 1 1 Y A 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 X X X X X X 01 11 1 0 0 X X X 1 1 01 1 1 1 1 X X X X 11 10 00 00 10 AB CD Y Карты Карно и безразличные значения
  • 66. 0 C D 0 0 0 1 1 0 1 1 B 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 0 X 1 1 Y A 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 X X X X X X 01 11 1 0 0 X X X 1 1 01 1 1 1 1 X X X X 11 10 00 00 10 AB CD Y Y = A + BD + C Карты Карно и безразличные значения
  • 68.  Выбирает один из N входов и соединяет его с выходом  log2N-бит для выбора входа – вход управления (выбора) • Пример: 2:1 Mux Y 0 0 0 1 1 0 1 1 0 1 0 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 0 0 1 1 0 1 S D0 Y D1 D1 D0 S Y 0 1 D1 D0 S Мультиплексор (Mux)
  • 69. Y D0 S D1 2-<69> • Используя логические элементы – Дизъюнктивная форма D1 Y D0 S S 00 01 0 1 Y 11 10 D0 D1 0 0 0 1 1 1 1 0 Y = D0 S + D1 S • Используя буферы с тремя состояниями – Для N-входового мультиплексора используется N буферов с тремя состояниями – Один и только один их них включается для выбора соответствующего входа Реализация мультиплексоров
  • 70. A B Y 0 0 0 0 1 0 1 0 0 1 1 1 Y = AB 00 Y 01 10 11 A B • Использование мультиплексоров как таблиц преобразования Цифровые схемы на основе мультиплексоров
  • 71. A B Y 0 0 0 0 1 0 1 0 0 1 1 1 Y = AB A Y 0 1 0 0 1 A B Y B • Уменьшение размера мультиплексора Цифровые схемы на основе мультиплексоров
  • 72. 2:4 Decoder A1 A0 Y3 Y2 Y1 Y0 00 01 10 11 0 0 0 1 1 0 1 1 0 0 0 1 Y3 Y2 Y1 Y0 A0 A1 0 0 1 0 0 1 0 0 1 0 0 0  N входов, 2N выходов  Прямой унитарный код: только один выход принимает значение ИСТИНА Дешифраторы
  • 74. 2:4 Decoder A B 00 01 10 11 Y = AB + AB Y AB AB AB AB Minterm = A  B  Функция ИЛИ от минтермов Цифровые схемы на основе дешифраторов
  • 75. A Y Time delay A Y  Задержка между изменением входа и соответствующим изменением выхода  Как проектировать быстрые схемы? Временные характеристики
  • 76. A Y Time A Y tpd tcd • Задержка распространения tpd = максимальная задержка тракта вход-выход • Задержка реакции tcd = минимальная задержка тракта вход-выход Задержки распространения и реакции
  • 77. • Задержки обусловлены – Емкостями и сопротивлениями в цепях – Конечностью скорости света • Причины, по которым tpd и tcd могут различаться – Разные задержки нарастания и спада сигнала – Несколько входов и выходов, одни из которых быстрее, чем другие – Замедление работы схемы при повышении температуры и ускорение при охлаждении Задержки распространения и реакции
  • 78. A B C D Y Critical Path Short Path n1 n2 Критический (длинный) путь tpd = 2tpd_AND + tpd_OR Кратчайший путь tcd = tcd_AND Критический (длинный) и кратчайший пути
  • 79. • Одиночное изменение на входного сигнала вызывает несколько изменений сигнала на выходе. Импульсные помехи
  • 80. A B C Y 00 01 1 Y 11 10 AB 1 1 0 1 0 1 0 0 C 0 Y = AB + BC • Что происходит когда A = 0, C = 1, а B изменяется с 1 до 0? Пример импульсной помехи
  • 81. A = 0 B = 1 0 C = 1 Y = 1 0 1 Short Path Critical Path B Y Time 1 0 0 1 glitch n1 n2 n2 n1 Пример импульсной помехи
  • 82. 00 01 1 Y 11 10 AB 1 1 0 1 0 1 0 0 C 0 Y = AB + BC + AC AC B = 1 0 Y = 1 A = 0 C = 1 Борьба с импульсными помехами
  • 83. • Импульсные помехи не являются серьезной проблемой при проектировании синхронных схем (глава 3) • Важно уметь распознавать их при моделировании или на экране осциллографа. • От всех импульсных помех невозможно избавиться - одновременные изменения нескольких входов могут привести к появлению таких помех. Почему импульсные помехи важны?