SlideShare a Scribd company logo
1 of 52
Цифровая схемотехника
Лекция 9
Программируемые логические интегральные
схемы (ПЛИС)
План лекции:
■ Классификация ЦИС. Сравнение вариантов создания
специализированных проектов.
■ Базовые Матричные Кристаллы
■ Классификация ПЛИС.
◻ PLD, ПЛМ.
◻ FPGA.
■ Транзистор с плавающим затвором
Классификация цифровых
интегральных схем
■ МИС, СИС, БИС, СБИС – микросхемы
малого, среднего, большого и
сверхбольшого уровня интеграции.
■ МП – микропроцессоры
■ МК – микроконтроллеры
■ ЗУ – запоминающие устройства
■ БМК – базовый матричный кристалл
Классификация цифровых
интегральных схем (прод.)
■ ИСПС – интегральные схемы с программируемой
структурой или ПЛИС – программируемые
логические интегральные схемы
■ SSI, MSI, VSI, VLSI – Small, Medium, Large, Very
Large Scale Integration.
■ ASIC – Application Specific Integrated Circuit
■ ASSP – Application Specific Standard Products
■ MPGA – Mask Programmable Gate Array
■ LPGA – Laser Personalized Gate Array
Классификация цифровых
интегральных схем (прод.)
■ Стандартные ИС – это готовые изделия,
производимые массовыми тиражами, затраты
на проектирование мало влияют на конечную
стоимость.
■ Специализированные ИС – проектируются
по конкретному заказу, затраты на
проектирование составляют большую часть
стоимости. Ограничена область применения.
Классификация цифровых
интегральных схем (прод.)
Стандартные цифровые ИС
■ МИС и СИС используются и сейчас для отдельных
задач, но сложные устройства делать на них не выгодно
■ МП и МК широко используются для решения задач
программной реализации алгоритмов
■ БИС и СБИС запоминающих устройств массово
применяются в различных системах.
■ ИСПС – дают возможность использовать ИС высокого
уровня интеграции не только в массовых (от сотен
тысяч), но и в проектах малой тиражности (вплоть до 1).
Для потребителя ИСПС являются специализируемыми,
а для промышленности – стандартными.
Стандартная интегральная схема
Предпосылки появления ПЛИС (1)
Основными компонентами цифрового вычислительного
устройства (ЦВУ) являются процессор, память и
периферийные устройства.
Процессор и память являются стандартными
элементами, изготавливаемыми не для конкретного
устройства (системы) по специальному заказу,
а серийными изделиями.
Наряду со стандартными БИС в системе присутствуют
и нестандартные части, специфичные для данной
разработки.
Предпосылки появления ПЛИС (2)
Выполнение нестандартной части в виде заказной БИС
(ASIC – Application-Specific Integrated Circuit) связано
с большой стоимостью и большим временем
проектирования. Стоимость подготовки производства новых
ASIC имеет устойчивую тенденцию к росту,
и проектировать их имеет смысл только в том случае,
если планируются большие объемы производства.
Это противоречие нашло разрешение в виде разработки
БИС с программируемой и репрограммируемой структурой,
объединяемых общим названием «программируемые
логические интегральные схемы» (ПЛИС).
Под программируемостью здесь и ниже следует
понимать возможность программирования микросхемы
пользователем.
Логическая ёмкость ПЛИС
Общепринятой оценкой логической ёмкости ПЛИС
является число эквивалентных вентилей, определяемое
как среднее число вентилей «2И-НЕ», необходимых для
реализации эквивалентного проекта на ПЛИС
и базовом матричном кристалле (БМК).
Эта оценка весьма условна, поскольку ПЛИС не
содержат вентилей 2И-НЕ в чистом виде, однако для
проведения сравнительного анализа различных
архитектур она вполне пригодна.
Преимущества ПЛИС
Таким образом, ПЛИС представляют собой
стандартные БИС/СБИС, предназначенные
для решения специализированных задач путём
программирования пользователем.
ПЛИС являются стандартной продукцией
электронной промышленности со всеми
вытекающими из этого положительными следствиями:
◻ массовое производство,
◻ снижение стоимости микросхем,
◻ снижение сроков разработки и
◻ сокращение сроков выхода на рынок продукции
на их основе.
Проектирование на ПЛИС стало более дешевой
альтернативой методам проектирования с
использованием специализированных ИС и БМК. В
настоящее время проектирование цифровых систем и
логических устройств все больше перемещается в
сторону широкого использования ПЛИС. ПЛИС сделали
БИС/СБИС, предназначенные для решения
специализированных задач, стандартной продукцией
электронной промышленности.
Преимущества ПЛИС
Сравнительная таблица вариантов создания
специализированных проектов на ИС
очень
низкая
очень
низкая
высокая
средняя
очень
высокая
Сложность
отладки
проекта
очень низкая
очень
малое
низкая
высокое
ПЛИС
очень
высокая
малое
очень
высокая
очень
высокое
Standart
Cells
очень
высокая
очень
большое
очень
высокая
очень
высокое
Full Custom
средняя
среднее –
большое
средняя
среднее
БМК
низкая
среднее
очень
низкая
очень
низкое
МИС и СИС
Стоимость
специали-
зации
Время
проекти-
рования
Степень
интегра-
ции
Быстро-
действие
Базовые матричные кристаллы (БМК)
БМК – полузаказная ИС.
БМК – кристалл, на прямоугольной поверхности
которого размещены внутренняя и периферийная
области (ВО и ПО). В ВО по строкам и столбцам (в
виде матрицы) расположены базовые ячейки (БЯ) –
группы нескоммутированных схемных элементов
(транзисторов, резисторов). Элементный состав
базовой ячейки при разных вариантах
межсоединений элементов допускает реализацию
некоторого множества схем определенного класса,
каждая из которых соответствует определенной
функциональной ячейке (ФЯ). Для каждого БМК
создается библиотека ФЯ, т.е. в сущности рисунков
межсоединений, дающих ту или иную схему.
В БМК канальной структуры между
строками и столбцами базовых ячеек
или их компактных групп оставляются
горизонтальные и вертикальные
свободные зоны (каналы) для
межсоединений.
В ПО кристалла размещаются
периферийные ячейки (ПЯ),
выполняющие операции ввода/вывода
сигналов через контактные площадки,
расположенные по краям кристалла.
Структура БМК
Классификация логических схем
программируемой логики
■ по уровню интеграции и связанной с ним логической
сложности;
■ по архитектуре (типу функциональных блоков,
характеру системы межсоединений)
■ числу допустимых циклов программирования;
■ типу памяти конфигурации (теневой памяти)
■ степени зависимости задержек сигналов от путей их
распространения;
■ системным свойствам
■ схемотехники (КМДП, ТТЛШ)
■ однородности или гибридности
Классификация логических схем
программируемой логики
Рассмотрим классификацию по 3-м основным
признакам:
■ архитектуре
■ уровню интеграции и однородности/гибридности
■ числу допустимых циклов программирования и
типу памяти конфигурации
Классификация ПЛИС по архитектуре
Классификация ПЛИС по уровню
интеграции (1)
Классификация по уровню интеграции отражает
тенденцию бурного роста уровня интеграции
ПЛИС и выделение из них класса «системы на
кристалле».
В силу связи между уровнем интеграции и
архитектурой, классификация не является строгой,
и в ней имеется некоторое смешение двух
признаков.
Классификация ПЛИС по уровню
интеграции (2)
Классификация ПЛИС по уровню
интеграции (3)
ПЛИС с широким диапазоном изменения уровня
интеграции (от простых до содер-жащих сотни тысяч
вентилей) отнесены
к «досистемным» в том смысле, что для них не
рассматривались вопросы создания целых систем на
одном кристалле.
Класс систем на кристалле (SOС) делится на
подклассы однородных и блочных.
В однородных SOC различные блоки системы
реализуются одними и теми же аппаратными
средствами, благодаря программируемости этих
средств.
Классификация ПЛИС по уровню
интеграции (4)
Блочные SOC имеют аппаратные ядра, т. е. специали-
зированные области кристалла, выделенные для
определённых функций. В этих областях создаются блоки
неизменной структуры, спроектированные по методологии
ASIC (как области типа БМК или схем со стандартными
ячейками), оптимизированные для заданной функции и не
имеющие средств её программирования.
Реализация функций специализированными аппаратными
ядрами требует значительно меньшей площади кристалла в
сравнении с реализациями на единых программируемых
средствах и улучшает другие характеристики схемы, в первую
очередь, быстродействие блоков, но уменьшает
универсальность ПЛИС.
■ SPLD – Simple Programmable Logic Device,
простые программируемые устройства.
ПЛМ, PLA – программируемые логические
матрицы, Programmable Logic Arrays
ПМЛ, PAL – программируемая матричная
логика, Programmable Array Logic.
 GAL Generic Array Logic (GAL).
 ППЗУ, PROM – Программируемое
постоянное запоминающее устройство,
Programmable Read-Only Memory (PROM).
SPLD реализуют дизъюнктивные
нормальные формы (ДНФ)
переключательных функций.
■ Структура:
◻ Включенные последовательно две
матрицы элементов: И, ИЛИ.
■ Техническая реализация:
◻ И-НЕ, ИЛИ-НЕ
◻ 2 матрицы И-ИЛИ
Идея ПЛИС
Структура ПЛИС
Структура SPLD
Представление вентиля в PLD
Особенности PROM, PAL, PLA
Тип Перемычки матрицы AND Перемычки матрицы OR
PROM Фиксируются на заводе
Программируются
пользователем
PLA
Программируются
пользователем
Программируются
пользователем
PAL
или
GAL
Программируются
пользователем
Фиксируются на заводе
Особенности PROM, PAL, PLA
Структура PROM
Структура PLA
Пример программирования PLA
Пример:
• 3 входа/2 выхода
• F1 = A B’ + A C + A’ B C’
• F2 = (AC + BC)’
Пример программирования PАL
Пример:
• 4 входа/ 4 фиксированных
выхода с 3-входовыми
элементами OR
• W = A B C’ + A’ B’ C D’
• X = ?
• Y = ?
• Z = ?
PАL логика диаграммасы
Архитектура ПЛМ. Пример. (1)
Реализуем следующую систему функций:
Архитектура ПЛМ. Пример. (2)
Архитектура ПМЛ
Недостаток архитектуры ПЛМ – слабое использование ресурсов
программируемой матрицы ИЛИ, поэтому дальнейшее развитие
получили микросхемы, построенные по архитектуре ПМЛ (PAL,
GAL).
ПМЛ имеют программируемую матрицу элементов И и фиксиро-
ванную матрицу элементов ИЛИ.
Базовые матричные кристаллы (1)
Базовый матричный кристалл (БМК) представляет собой
универсальное устройство для реализации в интегральном
исполнении различных цифровых и цифро-аналоговых схем.
БМК являются предшественниками FPGA и имеют с ними
ряд родственных черт.
Функционирование БМК приспосабливается к требова-ниям
заказчика на заключительных этапах производства,
а полуфабрикаты производятся в массовом количестве без
ориентации на конкретного заказчика. Это обходится
значительно дешевле и требует меньше времени
на проектирование. Такие БИС называют полузаказными.
Развитие БМК привело к появлению их разновидностей:
канальные, бесканальные и блочные архитектуры.
Базовые матричные кристаллы (2)
Достоинства БМК:
◻ значительно более высокая надёжность в сравнении с ПЛИС
и микропроцессорами в условиях воздействия спецфакторов;
◻ применение БИС на основе БМК гарантирует значительное
сокращение сроков разработки аппаратуры, снижение
трудоемкости проектных работ;
◻ в ряде случаев БМК позволяет реализовать как цифровые,
так и линейные схемы;
◻ БМК имеют малую мощность потребления, обеспечивают
возможность построения аппаратуры с малыми весовыми
и габаритными характеристиками;
◻ важное преимущество БМК относится к области
квалификационных испытаний. Проведённые при освоении
производства БМК квалификационные испытания
распространяются на все микросхемы, изготовленные на
основе этого БМК.
Канальные БМК
Канальные БМК представляют собой совокупность
регулярно расположенных на кристалле базовых ячеек
(БЯ), между которыми имеются свободные
для создания соединений каналы.
Каналы – вертикальные и горизонтальные. Могут
быть только вертикальные каналы.
Канальные БМК имеют низкую плотность упаковки,
т.к. площадь тратится на межсоединения.
Бесканальные БМК
Бесканальные БМК не имеют фиксированных каналов. Любая
область может быть использована как для создания логической
схемы, так и для создания межсоединений. Характерны для КМОП-
схемотехники.
Важной характеристикой БМК является
число слоёв межсоединений (2–6).
В случае двухслойной трассировки
на нижнем уровне соединяются
элементы внутри БЯ и связи
по вертикальным каналам. Второй
слой даёт разводку горизонтальных
трасс и линий питания, земли,
синхронизации.
В 4-х слойном кристалле: 1-й слой – связи внутри БЯ; 2-й –
вертикальные трассы; 3-й – горизонтальные трассы; 4-й слой –
обслуживающие соединения.
Блочные БМК
Блочные БМК упрощают построение
комбинированных устройств, содержащих
как блоки обработки данных, так и память
и другие специализированные блоки.
Матрица базовых
ячеек
ОЗУ
ПЗУ Матрица базовых
ячеек
ПЛИС типа CPLD (1)
ПЛИС с архитектурой CPLD – продолжение линии ПМЛ.
CPLD состоят из центральной коммутационной матрицы,
множества функциональных блоков (именуемых также
макроячейками, макроэлементами) и блоков ввода-вывода
на периферии кристалла.
Центральная коммутационная матрица –
программируемая матрица соединений (ПМС).
Функциональные блоки (ФБ) по архитектуре подобны PLD.
В CPLD используется непрерывная или одномерно
непрерывная система связей, причём все связи идентичны,
что даёт хорошую предсказуемость задержек сигналов.
Классификация ПЛИС по кратности
программирования
ПЛИС с динамическим
репрограммированием
Такие ПЛИС открывают ряд новых возможностей в
области построения устройств и систем с
многофункциональным использованием аппаратных
ресурсов для решения сложных задач при из
разбиении на последовательные этапы и реализации
разных этапов на одних и тех же
быстроперестраиваемых ПЛИС.
ПЛИС типа CPLD (2)
■ CPLD – состоят из нескольких блоков,
подобных ПМЛ, которые объединяются
с помощью программируемой
коммутационной матрицы.
■ Фирмы выпускающие CPLD: Altera,
Atmel, Lattice Semiconductors, Cypress
Semiconductors, Xilinx и др.
Классические FPGA
■ FPGA – состоят из большого числа конфигурируемых
логических блоков (ЛБ), расположенных по строкам и
столбцам в виде матрицы, и трассировочных
ресурсов, обеспечивающих их межсоединения.
■ FPGA схожи с MPGA, только для специализации не
требуется обращаться к производителю ИМС.
Общие (системные) свойства микросхем
программируемой логики
■ Универсальность и связанные с нею высокий спрос со
стороны потребителей, что обеспечивает массовое
производство.
■ Низкая стоимость, обусловленная массовым производством
и высоким процентом выхода годных при производстве
вследствие регулярной структуры.
■ Высокое быстродействие и надежность как следствие
реализации на базе передовых технологий и интеграции
сложных устройств на одном кристалле.
■ Разнообразие конструктивного исполнения.
■ Разнообразие в выборе напряжений питания и параметров
сигналов ввода/вывода, а также режимов снижения
мощности.
■ Наличие эффективных САПР, малое время проектирования
и отладки проектов, а также выхода продукции на рынок.
■ Простота модификации проектов на любых стадиях их
разработки

More Related Content

Similar to [DD] 9. Programmable Logic IC

Организация базы знаний проектной деятельности предприятия
Организация базы знаний проектной деятельности предприятияОрганизация базы знаний проектной деятельности предприятия
Организация базы знаний проектной деятельности предприятия
Vasily Kazakov
 
C++ весна 2014 лекция 2
C++ весна 2014 лекция 2C++ весна 2014 лекция 2
C++ весна 2014 лекция 2
Technopark
 
40
4040
40
JIuc
 
20100314 virtualization igotti_lecture06
20100314 virtualization igotti_lecture0620100314 virtualization igotti_lecture06
20100314 virtualization igotti_lecture06
Computer Science Club
 
42
4242
42
JIuc
 
Параллельные алгоритмы IBM Research для решения задач обхода и построения кра...
Параллельные алгоритмы IBM Research для решения задач обхода и построения кра...Параллельные алгоритмы IBM Research для решения задач обхода и построения кра...
Параллельные алгоритмы IBM Research для решения задач обхода и построения кра...
Alexander Pozdneev
 

Similar to [DD] 9. Programmable Logic IC (20)

Организация базы знаний проектной деятельности предприятия
Организация базы знаний проектной деятельности предприятияОрганизация базы знаний проектной деятельности предприятия
Организация базы знаний проектной деятельности предприятия
 
C++ весна 2014 лекция 2
C++ весна 2014 лекция 2C++ весна 2014 лекция 2
C++ весна 2014 лекция 2
 
Доклад Сапр Хасанов
Доклад Сапр ХасановДоклад Сапр Хасанов
Доклад Сапр Хасанов
 
Архитектура и программирование потоковых многоядерных процессоров для научных...
Архитектура и программирование потоковых многоядерных процессоров для научных...Архитектура и программирование потоковых многоядерных процессоров для научных...
Архитектура и программирование потоковых многоядерных процессоров для научных...
 
40
4040
40
 
20100314 virtualization igotti_lecture06
20100314 virtualization igotti_lecture0620100314 virtualization igotti_lecture06
20100314 virtualization igotti_lecture06
 
supercluster
superclustersupercluster
supercluster
 
Развертывание алгоритмов на ПЛИС
Развертывание алгоритмов на ПЛИСРазвертывание алгоритмов на ПЛИС
Развертывание алгоритмов на ПЛИС
 
Проектирование радиолокационных систем
Проектирование радиолокационных систем Проектирование радиолокационных систем
Проектирование радиолокационных систем
 
Лекция 5. Основы параллельного программирования (Speedup, Amdahl's law, Paral...
Лекция 5. Основы параллельного программирования (Speedup, Amdahl's law, Paral...Лекция 5. Основы параллельного программирования (Speedup, Amdahl's law, Paral...
Лекция 5. Основы параллельного программирования (Speedup, Amdahl's law, Paral...
 
Отечественный контроллер для ответственных применений
Отечественный контроллер для ответственных примененийОтечественный контроллер для ответственных применений
Отечественный контроллер для ответственных применений
 
42
4242
42
 
Доклад НИОКР Шеметов
Доклад НИОКР ШеметовДоклад НИОКР Шеметов
Доклад НИОКР Шеметов
 
Параллельные алгоритмы IBM Research для решения задач обхода и построения кра...
Параллельные алгоритмы IBM Research для решения задач обхода и построения кра...Параллельные алгоритмы IBM Research для решения задач обхода и построения кра...
Параллельные алгоритмы IBM Research для решения задач обхода и построения кра...
 
Пути увеличения эффективности реализации алгоритмов машинного обучения
Пути увеличения эффективности реализации алгоритмов машинного обученияПути увеличения эффективности реализации алгоритмов машинного обучения
Пути увеличения эффективности реализации алгоритмов машинного обучения
 
Параллельное программирование на современных видеокартах
Параллельное программирование на современных видеокартахПараллельное программирование на современных видеокартах
Параллельное программирование на современных видеокартах
 
Аппаратные и программные средства управления
Аппаратные и программные средства управленияАппаратные и программные средства управления
Аппаратные и программные средства управления
 
Аппаратные и программные средства управления
Аппаратные и программные средства управленияАппаратные и программные средства управления
Аппаратные и программные средства управления
 
Андрей Зайчиков "Архитектура распределенных кластеров NoSQL на AWS"
Андрей Зайчиков "Архитектура распределенных кластеров NoSQL на AWS"Андрей Зайчиков "Архитектура распределенных кластеров NoSQL на AWS"
Андрей Зайчиков "Архитектура распределенных кластеров NoSQL на AWS"
 
Павел Лузанов, Postgres Professional. «PostgreSQL для пользователей Oracle»
Павел Лузанов, Postgres Professional. «PostgreSQL для пользователей Oracle»Павел Лузанов, Postgres Professional. «PostgreSQL для пользователей Oracle»
Павел Лузанов, Postgres Professional. «PostgreSQL для пользователей Oracle»
 

More from Gabit Altybaev

More from Gabit Altybaev (19)

[FEE] 9. Introduction to Digital Electronics. Logic gates
[FEE] 9. Introduction to Digital Electronics. Logic gates[FEE] 9. Introduction to Digital Electronics. Logic gates
[FEE] 9. Introduction to Digital Electronics. Logic gates
 
[FEE] 8. Optoelectronic devices
[FEE] 8. Optoelectronic devices[FEE] 8. Optoelectronic devices
[FEE] 8. Optoelectronic devices
 
[FEE] 7. Thyristors
[FEE] 7. Thyristors[FEE] 7. Thyristors
[FEE] 7. Thyristors
 
[FEE] 6. Field effect transistors
[FEE] 6. Field effect transistors[FEE] 6. Field effect transistors
[FEE] 6. Field effect transistors
 
[FEE] 5. Bipolar Transistor Amplifiers
[FEE] 5. Bipolar Transistor Amplifiers[FEE] 5. Bipolar Transistor Amplifiers
[FEE] 5. Bipolar Transistor Amplifiers
 
[FEE] 4. Bipolar transistors
[FEE] 4. Bipolar transistors[FEE] 4. Bipolar transistors
[FEE] 4. Bipolar transistors
 
[DD] 12. Arithmetic logic device
[DD] 12. Arithmetic logic device[DD] 12. Arithmetic logic device
[DD] 12. Arithmetic logic device
 
[DD] 11. Converters
[DD] 11. Converters[DD] 11. Converters
[DD] 11. Converters
 
[DD] 10. Memory
[DD] 10. Memory[DD] 10. Memory
[DD] 10. Memory
 
[DD] 7. Synchronous counters
[DD] 7. Synchronous counters[DD] 7. Synchronous counters
[DD] 7. Synchronous counters
 
[DD] 6. Asynchronous counters
[DD] 6. Asynchronous counters[DD] 6. Asynchronous counters
[DD] 6. Asynchronous counters
 
[DD] 5. Registers
[DD] 5. Registers[DD] 5. Registers
[DD] 5. Registers
 
[DD] 4. Triggers
[DD] 4. Triggers[DD] 4. Triggers
[DD] 4. Triggers
 
[FEE] 3. Semiconductor diodes
[FEE] 3. Semiconductor diodes[FEE] 3. Semiconductor diodes
[FEE] 3. Semiconductor diodes
 
[FEE] 2. Electrical transitions in semiconductor devices
[FEE] 2. Electrical transitions in semiconductor devices[FEE] 2. Electrical transitions in semiconductor devices
[FEE] 2. Electrical transitions in semiconductor devices
 
[FEE] 1. Semi-conductor devices
[FEE] 1. Semi-conductor devices[FEE] 1. Semi-conductor devices
[FEE] 1. Semi-conductor devices
 
[DD] 3. Combinational circuits
[DD] 3. Combinational circuits[DD] 3. Combinational circuits
[DD] 3. Combinational circuits
 
[DD] 2. Integrated circuits
[DD] 2. Integrated circuits[DD] 2. Integrated circuits
[DD] 2. Integrated circuits
 
[DD] 1. Basics of digital design
[DD] 1. Basics of digital design[DD] 1. Basics of digital design
[DD] 1. Basics of digital design
 

[DD] 9. Programmable Logic IC

  • 1. Цифровая схемотехника Лекция 9 Программируемые логические интегральные схемы (ПЛИС)
  • 2. План лекции: ■ Классификация ЦИС. Сравнение вариантов создания специализированных проектов. ■ Базовые Матричные Кристаллы ■ Классификация ПЛИС. ◻ PLD, ПЛМ. ◻ FPGA. ■ Транзистор с плавающим затвором
  • 4. ■ МИС, СИС, БИС, СБИС – микросхемы малого, среднего, большого и сверхбольшого уровня интеграции. ■ МП – микропроцессоры ■ МК – микроконтроллеры ■ ЗУ – запоминающие устройства ■ БМК – базовый матричный кристалл Классификация цифровых интегральных схем (прод.)
  • 5. ■ ИСПС – интегральные схемы с программируемой структурой или ПЛИС – программируемые логические интегральные схемы ■ SSI, MSI, VSI, VLSI – Small, Medium, Large, Very Large Scale Integration. ■ ASIC – Application Specific Integrated Circuit ■ ASSP – Application Specific Standard Products ■ MPGA – Mask Programmable Gate Array ■ LPGA – Laser Personalized Gate Array Классификация цифровых интегральных схем (прод.)
  • 6. ■ Стандартные ИС – это готовые изделия, производимые массовыми тиражами, затраты на проектирование мало влияют на конечную стоимость. ■ Специализированные ИС – проектируются по конкретному заказу, затраты на проектирование составляют большую часть стоимости. Ограничена область применения. Классификация цифровых интегральных схем (прод.)
  • 7. Стандартные цифровые ИС ■ МИС и СИС используются и сейчас для отдельных задач, но сложные устройства делать на них не выгодно ■ МП и МК широко используются для решения задач программной реализации алгоритмов ■ БИС и СБИС запоминающих устройств массово применяются в различных системах. ■ ИСПС – дают возможность использовать ИС высокого уровня интеграции не только в массовых (от сотен тысяч), но и в проектах малой тиражности (вплоть до 1). Для потребителя ИСПС являются специализируемыми, а для промышленности – стандартными.
  • 9. Предпосылки появления ПЛИС (1) Основными компонентами цифрового вычислительного устройства (ЦВУ) являются процессор, память и периферийные устройства. Процессор и память являются стандартными элементами, изготавливаемыми не для конкретного устройства (системы) по специальному заказу, а серийными изделиями. Наряду со стандартными БИС в системе присутствуют и нестандартные части, специфичные для данной разработки.
  • 10. Предпосылки появления ПЛИС (2) Выполнение нестандартной части в виде заказной БИС (ASIC – Application-Specific Integrated Circuit) связано с большой стоимостью и большим временем проектирования. Стоимость подготовки производства новых ASIC имеет устойчивую тенденцию к росту, и проектировать их имеет смысл только в том случае, если планируются большие объемы производства. Это противоречие нашло разрешение в виде разработки БИС с программируемой и репрограммируемой структурой, объединяемых общим названием «программируемые логические интегральные схемы» (ПЛИС). Под программируемостью здесь и ниже следует понимать возможность программирования микросхемы пользователем.
  • 11. Логическая ёмкость ПЛИС Общепринятой оценкой логической ёмкости ПЛИС является число эквивалентных вентилей, определяемое как среднее число вентилей «2И-НЕ», необходимых для реализации эквивалентного проекта на ПЛИС и базовом матричном кристалле (БМК). Эта оценка весьма условна, поскольку ПЛИС не содержат вентилей 2И-НЕ в чистом виде, однако для проведения сравнительного анализа различных архитектур она вполне пригодна.
  • 12. Преимущества ПЛИС Таким образом, ПЛИС представляют собой стандартные БИС/СБИС, предназначенные для решения специализированных задач путём программирования пользователем. ПЛИС являются стандартной продукцией электронной промышленности со всеми вытекающими из этого положительными следствиями: ◻ массовое производство, ◻ снижение стоимости микросхем, ◻ снижение сроков разработки и ◻ сокращение сроков выхода на рынок продукции на их основе.
  • 13. Проектирование на ПЛИС стало более дешевой альтернативой методам проектирования с использованием специализированных ИС и БМК. В настоящее время проектирование цифровых систем и логических устройств все больше перемещается в сторону широкого использования ПЛИС. ПЛИС сделали БИС/СБИС, предназначенные для решения специализированных задач, стандартной продукцией электронной промышленности. Преимущества ПЛИС
  • 14. Сравнительная таблица вариантов создания специализированных проектов на ИС очень низкая очень низкая высокая средняя очень высокая Сложность отладки проекта очень низкая очень малое низкая высокое ПЛИС очень высокая малое очень высокая очень высокое Standart Cells очень высокая очень большое очень высокая очень высокое Full Custom средняя среднее – большое средняя среднее БМК низкая среднее очень низкая очень низкое МИС и СИС Стоимость специали- зации Время проекти- рования Степень интегра- ции Быстро- действие
  • 15. Базовые матричные кристаллы (БМК) БМК – полузаказная ИС. БМК – кристалл, на прямоугольной поверхности которого размещены внутренняя и периферийная области (ВО и ПО). В ВО по строкам и столбцам (в виде матрицы) расположены базовые ячейки (БЯ) – группы нескоммутированных схемных элементов (транзисторов, резисторов). Элементный состав базовой ячейки при разных вариантах межсоединений элементов допускает реализацию некоторого множества схем определенного класса, каждая из которых соответствует определенной функциональной ячейке (ФЯ). Для каждого БМК создается библиотека ФЯ, т.е. в сущности рисунков межсоединений, дающих ту или иную схему.
  • 16. В БМК канальной структуры между строками и столбцами базовых ячеек или их компактных групп оставляются горизонтальные и вертикальные свободные зоны (каналы) для межсоединений. В ПО кристалла размещаются периферийные ячейки (ПЯ), выполняющие операции ввода/вывода сигналов через контактные площадки, расположенные по краям кристалла.
  • 18. Классификация логических схем программируемой логики ■ по уровню интеграции и связанной с ним логической сложности; ■ по архитектуре (типу функциональных блоков, характеру системы межсоединений) ■ числу допустимых циклов программирования; ■ типу памяти конфигурации (теневой памяти) ■ степени зависимости задержек сигналов от путей их распространения; ■ системным свойствам ■ схемотехники (КМДП, ТТЛШ) ■ однородности или гибридности
  • 19. Классификация логических схем программируемой логики Рассмотрим классификацию по 3-м основным признакам: ■ архитектуре ■ уровню интеграции и однородности/гибридности ■ числу допустимых циклов программирования и типу памяти конфигурации
  • 21. Классификация ПЛИС по уровню интеграции (1) Классификация по уровню интеграции отражает тенденцию бурного роста уровня интеграции ПЛИС и выделение из них класса «системы на кристалле». В силу связи между уровнем интеграции и архитектурой, классификация не является строгой, и в ней имеется некоторое смешение двух признаков.
  • 22. Классификация ПЛИС по уровню интеграции (2)
  • 23. Классификация ПЛИС по уровню интеграции (3) ПЛИС с широким диапазоном изменения уровня интеграции (от простых до содер-жащих сотни тысяч вентилей) отнесены к «досистемным» в том смысле, что для них не рассматривались вопросы создания целых систем на одном кристалле. Класс систем на кристалле (SOС) делится на подклассы однородных и блочных. В однородных SOC различные блоки системы реализуются одними и теми же аппаратными средствами, благодаря программируемости этих средств.
  • 24. Классификация ПЛИС по уровню интеграции (4) Блочные SOC имеют аппаратные ядра, т. е. специали- зированные области кристалла, выделенные для определённых функций. В этих областях создаются блоки неизменной структуры, спроектированные по методологии ASIC (как области типа БМК или схем со стандартными ячейками), оптимизированные для заданной функции и не имеющие средств её программирования. Реализация функций специализированными аппаратными ядрами требует значительно меньшей площади кристалла в сравнении с реализациями на единых программируемых средствах и улучшает другие характеристики схемы, в первую очередь, быстродействие блоков, но уменьшает универсальность ПЛИС.
  • 25. ■ SPLD – Simple Programmable Logic Device, простые программируемые устройства. ПЛМ, PLA – программируемые логические матрицы, Programmable Logic Arrays ПМЛ, PAL – программируемая матричная логика, Programmable Array Logic.  GAL Generic Array Logic (GAL).  ППЗУ, PROM – Программируемое постоянное запоминающее устройство, Programmable Read-Only Memory (PROM).
  • 26. SPLD реализуют дизъюнктивные нормальные формы (ДНФ) переключательных функций. ■ Структура: ◻ Включенные последовательно две матрицы элементов: И, ИЛИ. ■ Техническая реализация: ◻ И-НЕ, ИЛИ-НЕ ◻ 2 матрицы И-ИЛИ
  • 31. Особенности PROM, PAL, PLA Тип Перемычки матрицы AND Перемычки матрицы OR PROM Фиксируются на заводе Программируются пользователем PLA Программируются пользователем Программируются пользователем PAL или GAL Программируются пользователем Фиксируются на заводе
  • 35. Пример программирования PLA Пример: • 3 входа/2 выхода • F1 = A B’ + A C + A’ B C’ • F2 = (AC + BC)’
  • 36. Пример программирования PАL Пример: • 4 входа/ 4 фиксированных выхода с 3-входовыми элементами OR • W = A B C’ + A’ B’ C D’ • X = ? • Y = ? • Z = ?
  • 38. Архитектура ПЛМ. Пример. (1) Реализуем следующую систему функций:
  • 40. Архитектура ПМЛ Недостаток архитектуры ПЛМ – слабое использование ресурсов программируемой матрицы ИЛИ, поэтому дальнейшее развитие получили микросхемы, построенные по архитектуре ПМЛ (PAL, GAL). ПМЛ имеют программируемую матрицу элементов И и фиксиро- ванную матрицу элементов ИЛИ.
  • 41. Базовые матричные кристаллы (1) Базовый матричный кристалл (БМК) представляет собой универсальное устройство для реализации в интегральном исполнении различных цифровых и цифро-аналоговых схем. БМК являются предшественниками FPGA и имеют с ними ряд родственных черт. Функционирование БМК приспосабливается к требова-ниям заказчика на заключительных этапах производства, а полуфабрикаты производятся в массовом количестве без ориентации на конкретного заказчика. Это обходится значительно дешевле и требует меньше времени на проектирование. Такие БИС называют полузаказными. Развитие БМК привело к появлению их разновидностей: канальные, бесканальные и блочные архитектуры.
  • 42. Базовые матричные кристаллы (2) Достоинства БМК: ◻ значительно более высокая надёжность в сравнении с ПЛИС и микропроцессорами в условиях воздействия спецфакторов; ◻ применение БИС на основе БМК гарантирует значительное сокращение сроков разработки аппаратуры, снижение трудоемкости проектных работ; ◻ в ряде случаев БМК позволяет реализовать как цифровые, так и линейные схемы; ◻ БМК имеют малую мощность потребления, обеспечивают возможность построения аппаратуры с малыми весовыми и габаритными характеристиками; ◻ важное преимущество БМК относится к области квалификационных испытаний. Проведённые при освоении производства БМК квалификационные испытания распространяются на все микросхемы, изготовленные на основе этого БМК.
  • 43. Канальные БМК Канальные БМК представляют собой совокупность регулярно расположенных на кристалле базовых ячеек (БЯ), между которыми имеются свободные для создания соединений каналы. Каналы – вертикальные и горизонтальные. Могут быть только вертикальные каналы. Канальные БМК имеют низкую плотность упаковки, т.к. площадь тратится на межсоединения.
  • 44. Бесканальные БМК Бесканальные БМК не имеют фиксированных каналов. Любая область может быть использована как для создания логической схемы, так и для создания межсоединений. Характерны для КМОП- схемотехники. Важной характеристикой БМК является число слоёв межсоединений (2–6). В случае двухслойной трассировки на нижнем уровне соединяются элементы внутри БЯ и связи по вертикальным каналам. Второй слой даёт разводку горизонтальных трасс и линий питания, земли, синхронизации. В 4-х слойном кристалле: 1-й слой – связи внутри БЯ; 2-й – вертикальные трассы; 3-й – горизонтальные трассы; 4-й слой – обслуживающие соединения.
  • 45. Блочные БМК Блочные БМК упрощают построение комбинированных устройств, содержащих как блоки обработки данных, так и память и другие специализированные блоки. Матрица базовых ячеек ОЗУ ПЗУ Матрица базовых ячеек
  • 46. ПЛИС типа CPLD (1) ПЛИС с архитектурой CPLD – продолжение линии ПМЛ. CPLD состоят из центральной коммутационной матрицы, множества функциональных блоков (именуемых также макроячейками, макроэлементами) и блоков ввода-вывода на периферии кристалла. Центральная коммутационная матрица – программируемая матрица соединений (ПМС). Функциональные блоки (ФБ) по архитектуре подобны PLD. В CPLD используется непрерывная или одномерно непрерывная система связей, причём все связи идентичны, что даёт хорошую предсказуемость задержек сигналов.
  • 47. Классификация ПЛИС по кратности программирования
  • 48. ПЛИС с динамическим репрограммированием Такие ПЛИС открывают ряд новых возможностей в области построения устройств и систем с многофункциональным использованием аппаратных ресурсов для решения сложных задач при из разбиении на последовательные этапы и реализации разных этапов на одних и тех же быстроперестраиваемых ПЛИС.
  • 50. ■ CPLD – состоят из нескольких блоков, подобных ПМЛ, которые объединяются с помощью программируемой коммутационной матрицы. ■ Фирмы выпускающие CPLD: Altera, Atmel, Lattice Semiconductors, Cypress Semiconductors, Xilinx и др.
  • 51. Классические FPGA ■ FPGA – состоят из большого числа конфигурируемых логических блоков (ЛБ), расположенных по строкам и столбцам в виде матрицы, и трассировочных ресурсов, обеспечивающих их межсоединения. ■ FPGA схожи с MPGA, только для специализации не требуется обращаться к производителю ИМС.
  • 52. Общие (системные) свойства микросхем программируемой логики ■ Универсальность и связанные с нею высокий спрос со стороны потребителей, что обеспечивает массовое производство. ■ Низкая стоимость, обусловленная массовым производством и высоким процентом выхода годных при производстве вследствие регулярной структуры. ■ Высокое быстродействие и надежность как следствие реализации на базе передовых технологий и интеграции сложных устройств на одном кристалле. ■ Разнообразие конструктивного исполнения. ■ Разнообразие в выборе напряжений питания и параметров сигналов ввода/вывода, а также режимов снижения мощности. ■ Наличие эффективных САПР, малое время проектирования и отладки проектов, а также выхода продукции на рынок. ■ Простота модификации проектов на любых стадиях их разработки