SlideShare a Scribd company logo
1 of 2
Download to read offline
Applications - Semiconductor Process Engineer – Specialist in
Etching and metrology.
Introduction
Do you get energy of using your 300 mm lithography knowledge and making the difference as
an expert in the measurement of ‘critical dimension’ overlay? Etching and measurement is your
specialism.
Job Mission
Support customers with their current and future challenges in process development to efficiently
produce devices with ever shrinking features. Stretch the litho equipment and associated
processes beyond its specification to deliver the customer the required on product performance
(imaging, overlay, defectivity) and productivity.
Job description
Within the business line ‘applications’ the department Holistic Application Development (HAD)
is responsible for advanced application support to customers. HAD is committed to fine-tune the
lithographic process at the customers site according and beyond their state of the art processes
and products. You therefore will work on complex issues where analysis of semiconductor
processes and metrology data requires an in-depth evaluation to understand customer’s problem.
You need to cooperate with and drive the applicable organization in order to come up with a
solution that meets customer’s need.
 Working with the HAD project leader, account team and marketing organization to
define the package that meets customers’ requirements.
 Interact with and develop a solid working relationship with the customer to help
understand and develop valued solutions to their fabrication issues.
 Leading the competence contribution within the projects at customer’s site.
 Align regularly with the HAD team, account team and local customer support on the
execution of the project.
 Report to the HAD and Business Line management on progress of the projects.
 Develop applicable competencies: big data, statistics, analysis toolkits, lithographic
processing and metrology, within the Holistic Application Development department.
 Feed back field learnings into the Business Line application development.
 Demonstrate technical leadership through problem solving, training and presentation.
 Generate IP.
 Generate publications with the customers.
 Identify opportunities and be versatile and agile in transforming them in customer and
ASML added value. Be able to drive new product definition.
Experience
 At least 5 years’ experience in 300mm semiconductor fab with a preference for
experience in the areas of lithography, metrology, mask-making, yield engineering,
process engineering and/or process integration.
 Specialist in Etching technology for lithography processes.
 Fluency in speaking, reading and writing English.
 Ability to work independently and in teams.
 Demonstrated ability to perform in high pressure and high ambiguity environments and
still be able to make the correct decisions while maintaining focus on customer goals and
relationships.
Personal skills
 Strong client focus and commitment to customer satisfaction
 Strong technical background and drive towards solutions
 Strong personality to lead yet able to listen and understand
 Solution minded and able to see and develop the bigger picture
 Decisive and self-initiation
 Result focus and a “can do” spirit
 Ability to influence without power
 Creative thinker.
Other information
 Willingness to travel as needed.
Contact for more information: joost.van.den.eijnden@gmail.com
Tel. +31.624502432

More Related Content

What's hot

jeet resume for fresher mech.
jeet resume for fresher  mech.jeet resume for fresher  mech.
jeet resume for fresher mech.
Ganpat lal
 
Resume_nov2015
Resume_nov2015Resume_nov2015
Resume_nov2015
Terry Kent
 
CVCathy McLoughlin Sept 15v2
CVCathy McLoughlin Sept 15v2CVCathy McLoughlin Sept 15v2
CVCathy McLoughlin Sept 15v2
Cathy McLoughlin
 
Pritam Yadav(Manager-Operations)
Pritam Yadav(Manager-Operations)Pritam Yadav(Manager-Operations)
Pritam Yadav(Manager-Operations)
Pritam Yadav
 
Product and service design
Product and service designProduct and service design
Product and service design
Nthabee3
 
Joshua grant resume
Joshua grant resumeJoshua grant resume
Joshua grant resume
jngrant117
 
Executive Summery Vaibhav Nighojkar
Executive Summery Vaibhav NighojkarExecutive Summery Vaibhav Nighojkar
Executive Summery Vaibhav Nighojkar
Vaibhav Nighojkar
 
Resume Nur Quadir 0112
Resume Nur Quadir 0112Resume Nur Quadir 0112
Resume Nur Quadir 0112
Nur Quadir
 

What's hot (20)

jeet resume for fresher mech.
jeet resume for fresher  mech.jeet resume for fresher  mech.
jeet resume for fresher mech.
 
Pravin Bio Data
Pravin Bio DataPravin Bio Data
Pravin Bio Data
 
Johnston resume (1)
Johnston resume (1)Johnston resume (1)
Johnston resume (1)
 
Agneeta Resume
Agneeta ResumeAgneeta Resume
Agneeta Resume
 
GAP Resume
GAP ResumeGAP Resume
GAP Resume
 
sales-marketing
sales-marketingsales-marketing
sales-marketing
 
Resume_nov2015
Resume_nov2015Resume_nov2015
Resume_nov2015
 
CVCathy McLoughlin Sept 15v2
CVCathy McLoughlin Sept 15v2CVCathy McLoughlin Sept 15v2
CVCathy McLoughlin Sept 15v2
 
Linkedin cover letter 2017
Linkedin cover letter 2017Linkedin cover letter 2017
Linkedin cover letter 2017
 
sales-marketing
sales-marketingsales-marketing
sales-marketing
 
Quality-1
Quality-1Quality-1
Quality-1
 
What is B2B vs. B2C Product Management by Couchbase Senior PM
What is B2B vs. B2C Product Management by Couchbase Senior PMWhat is B2B vs. B2C Product Management by Couchbase Senior PM
What is B2B vs. B2C Product Management by Couchbase Senior PM
 
voshell
voshellvoshell
voshell
 
Pritam Yadav(Manager-Operations)
Pritam Yadav(Manager-Operations)Pritam Yadav(Manager-Operations)
Pritam Yadav(Manager-Operations)
 
Umair Sales Pak
Umair Sales PakUmair Sales Pak
Umair Sales Pak
 
Product and service design
Product and service designProduct and service design
Product and service design
 
Joshua grant resume
Joshua grant resumeJoshua grant resume
Joshua grant resume
 
Resume - Clayton_Dsilva (3)
Resume - Clayton_Dsilva (3)Resume - Clayton_Dsilva (3)
Resume - Clayton_Dsilva (3)
 
Executive Summery Vaibhav Nighojkar
Executive Summery Vaibhav NighojkarExecutive Summery Vaibhav Nighojkar
Executive Summery Vaibhav Nighojkar
 
Resume Nur Quadir 0112
Resume Nur Quadir 0112Resume Nur Quadir 0112
Resume Nur Quadir 0112
 

Similar to Applications - process engineer etching and metrology01

Resume - CPG_FMCG - Market Research_Data Analyst
Resume - CPG_FMCG - Market Research_Data AnalystResume - CPG_FMCG - Market Research_Data Analyst
Resume - CPG_FMCG - Market Research_Data Analyst
Rupesh Kumar(Rahul)
 
Firdose_CustomerAdvocacy_Feb-2016
Firdose_CustomerAdvocacy_Feb-2016Firdose_CustomerAdvocacy_Feb-2016
Firdose_CustomerAdvocacy_Feb-2016
firdose S
 
mahesh_gs-cta
mahesh_gs-ctamahesh_gs-cta
mahesh_gs-cta
mahesh gs
 
Sara Maher Resume
Sara Maher ResumeSara Maher Resume
Sara Maher Resume
sara maher
 
Sara Maher Resume
Sara Maher ResumeSara Maher Resume
Sara Maher Resume
sara maher
 
Sharepoint consultant canberra & sydney
Sharepoint consultant   canberra & sydneySharepoint consultant   canberra & sydney
Sharepoint consultant canberra & sydney
Priyanka Sindwani
 
Technical product manager berkshire
Technical product manager   berkshireTechnical product manager   berkshire
Technical product manager berkshire
Becki_abrs
 
Anil Kumar. P New Formate
Anil Kumar. P New FormateAnil Kumar. P New Formate
Anil Kumar. P New Formate
Anil kumar Posa
 
Business-Analyst-Resume
Business-Analyst-ResumeBusiness-Analyst-Resume
Business-Analyst-Resume
Ranjit nikam
 

Similar to Applications - process engineer etching and metrology01 (20)

Resume - CPG_FMCG - Market Research_Data Analyst
Resume - CPG_FMCG - Market Research_Data AnalystResume - CPG_FMCG - Market Research_Data Analyst
Resume - CPG_FMCG - Market Research_Data Analyst
 
Firdose_CustomerAdvocacy_Feb-2016
Firdose_CustomerAdvocacy_Feb-2016Firdose_CustomerAdvocacy_Feb-2016
Firdose_CustomerAdvocacy_Feb-2016
 
IVinodNair
IVinodNairIVinodNair
IVinodNair
 
Prince-2016
Prince-2016Prince-2016
Prince-2016
 
mahesh_gs-cta
mahesh_gs-ctamahesh_gs-cta
mahesh_gs-cta
 
CV
CVCV
CV
 
Sara Maher Resume
Sara Maher ResumeSara Maher Resume
Sara Maher Resume
 
Sara Maher Resume
Sara Maher ResumeSara Maher Resume
Sara Maher Resume
 
BMA Graduate Recruiting
BMA Graduate RecruitingBMA Graduate Recruiting
BMA Graduate Recruiting
 
SAPSD Resume
SAPSD ResumeSAPSD Resume
SAPSD Resume
 
Sharepoint consultant canberra & sydney
Sharepoint consultant   canberra & sydneySharepoint consultant   canberra & sydney
Sharepoint consultant canberra & sydney
 
Gary Darby PM
Gary Darby PMGary Darby PM
Gary Darby PM
 
RAJESH_PRESALES-AVP
RAJESH_PRESALES-AVPRAJESH_PRESALES-AVP
RAJESH_PRESALES-AVP
 
Technical product manager berkshire
Technical product manager   berkshireTechnical product manager   berkshire
Technical product manager berkshire
 
AI Product Manager @ Faculty.pdf
AI Product Manager @ Faculty.pdfAI Product Manager @ Faculty.pdf
AI Product Manager @ Faculty.pdf
 
Anil Kumar. P New Formate
Anil Kumar. P New FormateAnil Kumar. P New Formate
Anil Kumar. P New Formate
 
Business-Analyst-Resume
Business-Analyst-ResumeBusiness-Analyst-Resume
Business-Analyst-Resume
 
BI Solution Architect
BI Solution ArchitectBI Solution Architect
BI Solution Architect
 
The Aleanda Group Q3 2009
The Aleanda Group Q3  2009The Aleanda Group Q3  2009
The Aleanda Group Q3 2009
 
Zedrick updated khan_2021_resume_out
Zedrick updated khan_2021_resume_out Zedrick updated khan_2021_resume_out
Zedrick updated khan_2021_resume_out
 

Applications - process engineer etching and metrology01

  • 1. Applications - Semiconductor Process Engineer – Specialist in Etching and metrology. Introduction Do you get energy of using your 300 mm lithography knowledge and making the difference as an expert in the measurement of ‘critical dimension’ overlay? Etching and measurement is your specialism. Job Mission Support customers with their current and future challenges in process development to efficiently produce devices with ever shrinking features. Stretch the litho equipment and associated processes beyond its specification to deliver the customer the required on product performance (imaging, overlay, defectivity) and productivity. Job description Within the business line ‘applications’ the department Holistic Application Development (HAD) is responsible for advanced application support to customers. HAD is committed to fine-tune the lithographic process at the customers site according and beyond their state of the art processes and products. You therefore will work on complex issues where analysis of semiconductor processes and metrology data requires an in-depth evaluation to understand customer’s problem. You need to cooperate with and drive the applicable organization in order to come up with a solution that meets customer’s need.  Working with the HAD project leader, account team and marketing organization to define the package that meets customers’ requirements.  Interact with and develop a solid working relationship with the customer to help understand and develop valued solutions to their fabrication issues.  Leading the competence contribution within the projects at customer’s site.  Align regularly with the HAD team, account team and local customer support on the execution of the project.  Report to the HAD and Business Line management on progress of the projects.  Develop applicable competencies: big data, statistics, analysis toolkits, lithographic processing and metrology, within the Holistic Application Development department.  Feed back field learnings into the Business Line application development.  Demonstrate technical leadership through problem solving, training and presentation.  Generate IP.  Generate publications with the customers.  Identify opportunities and be versatile and agile in transforming them in customer and ASML added value. Be able to drive new product definition.
  • 2. Experience  At least 5 years’ experience in 300mm semiconductor fab with a preference for experience in the areas of lithography, metrology, mask-making, yield engineering, process engineering and/or process integration.  Specialist in Etching technology for lithography processes.  Fluency in speaking, reading and writing English.  Ability to work independently and in teams.  Demonstrated ability to perform in high pressure and high ambiguity environments and still be able to make the correct decisions while maintaining focus on customer goals and relationships. Personal skills  Strong client focus and commitment to customer satisfaction  Strong technical background and drive towards solutions  Strong personality to lead yet able to listen and understand  Solution minded and able to see and develop the bigger picture  Decisive and self-initiation  Result focus and a “can do” spirit  Ability to influence without power  Creative thinker. Other information  Willingness to travel as needed. Contact for more information: joost.van.den.eijnden@gmail.com Tel. +31.624502432