SlideShare a Scribd company logo
1 of 48
ラプラシアンフィルタをZedBoardで実装
(ソフトウェアからハードウェアにオフロード)
@marsee101 こと 小野 雅晃
1
説明の流れ
 ラプラシアンフィルタ
 ZedBoard
 Zynq
 カメラ画像表示回路のハードウェア
 ZedBoard用のLinuxとフレームバッファ
 ラプラシアンフィルタのソフトウェア実装
 ソフトウェアの最適化
 Vivado HLSでラプラシアンフィルタ式のみをハードウェア化
 Vivado HLSでラプラシアンフィルタ回路全体をハードウェア化
 自作AXI Master IPによるラプラシアンフィルタのハードウェア化
 再度Vivado HLSでラプラシアンフィルタ回路全体をハードウェア化
 まとめ
2
ラプラシアンフィルタとは?
 画像処理フィルタ
 画像のエッジを検出(二次微分フィルタ)
 3x3, 5x5
 3x3
 5x5
画像
3
ラプラシアンフィルタ処理後の画像
4
ZedBoardとは?
 Digilent社のZynq搭載ボード
 Zynq-7000 AP SoC XC7Z020-CLG484
 Dual-core ARM Cortex A9 + FPGA
 Memory:
 512 MB DDR3
 256 Mb Quad-SPI Flash
 4 GB SD card
 Onboard USB-JTAG Programming
 10/100/1000 Ethernet
 USB OTG 2.0 and USB-UART
 PS & PL I/O expansion (FMC, Pmod™, XADC)
 Multiple displays (1080p HDMI, 12-bit VGA, 128 x 32
OLED)
 I2S Audio CODEC
5
ZedBoard用OS (Linuxなど)
 GitHubのDigilent / linux-digilent
 https://github.com/Digilent/linux-digilent
 Ubuntu
 Android
 PetaLinux(Xilinx社純正)
 Yocto
 Etc…
 ソフトウェアは不得意なので教えて下さい。。。
6
ZedBoardの写真
7
Zynq-7000について
 デュアル・ARMプロセッサ(PS) + FPGA(PL)
 28nm HPLプロセス
 ロジック部分は7シリーズ相当
 最大1GHz動作のDual Cortex-A9
 ZedBoardのZynq-7020は667MHz
 PS(Processing System)とPL(Programming Logic)は
AXIバスで接続
 PS部分にDDRコントローラ、豊富なI/Oペリフェラル
 FPGAはARMプロセッサのスレーブ
 ARMプロセッサがブートして、FPGAをコンフィグ
 FPGA単体ではブート出来ない
8
Zynq-7000の用途
 画像処理
 自動車関連
 自動運転、自動ブレーキなど
 医療機器
 CTなど?
 カメラ関係
 スマートカメラ、放送用カメラ
 プリンタ複合機
 産業用モーター制御
 ソフトウェア無線
 GPUが無いので、パソコンやAndroidになるのは不向き?
9
PSブロック図
Zynq-7000 All Programmable SoC テ ク ニカル リ
フ ァ レ ンス マニュ アル UG585 (v1.5) 2013 年 3
月 7 日 P27, 図1-1:Zynq-7000 AP SoC の概要を
引用
10
PSとPLのインターフェース
 AXIバスでPSとPLを接続
 AXI_HPスレーブ・インターフェース
 32ビット幅または64ビット幅のスレーブ4ポート
 FAXI_MAX 250MHz
 HPポート、200MHz x 64ビット = 1.6GB/s
 AXI_GPマスタ&スレーブ・インターフェース
 データバス32ビット幅のマスタ、スレーブそれぞれ2ポート
 AXI_ACPスレーブ・インターフェース
 64ビットAXIスレーブ1ポート
 SCUに接続されて、CPUとPL間でキャッシュ・コヒーレンシを維持
 キャッシュに読み書きできるのでCPUとのデータ交換のレイテンシ
が短い
11
これからの話の注意点
 ARM+FPGAのZynqデバイス
 ハードウェアとソフトウェア両方の話
 どっちの話をしているかご注意下さい
 ソフトウェアのスライドは青いタイトル
 ハードウェアのスライドは赤いタイトル
 両方含んでいるスライドのタイトルは黒
12
ラプラシアンフィルタの話なのだが、まだ先は
長い
 必要なハードウェアは?
 CMOSカメラをZedBoardに付ける
 カメラ付けないとリアルタイムに画像が入力できない
 PmodコネクタにMT9D111を付けた
 CMOSカメラを表示する回路も
 ZynqのPLに作った(IP)
 カメラ画像を表示する回路も
 ZynqのPLに作った(IP)
13
カメラ画像表示の概要
 Digilent Linux
 ペンギン2匹をHDMIに表示
 ZedBoard_OOB_Design
 Digilent LinuxのPL内のハードウェア
 AXI VDMAとHDMI制御回路でディスプレイに表示
 オーディオ回路
 CMOSカメラ・インターフェース回路
 mt9d111_inf_axi_master_0
 ディスプレイ表示回路
 bitmap_disp_cntrler_axi_master_0
 VGA出力とHDMI出力
 HDMIは接続無し
14
ZedBoard_OOB_Design
 Digilent社のサイトにあるLinux用のデザイン
 XilinxのXPSというツールを使用している
 XPS(Xilinx Platform Studio)は、IPをつないで回路を構成す
る
15
ZedBoard_OOB_Design(改)
16
mt9d111_inf_axi_master_0と
bitmap_disp_cntrler_axi_master_0
17
注:AXI Interconnectは図から省いてあります
CMOSカメラと出力画面
 CMOSカメラ(MT9D111、マイクロン社製)
 1600x1200ピクセル、15fpsの性能
 800x600ピクセル、15fpsで使用(プレビューモード)
 PMODコネクタ2個に接続
 なぜ800x600で使っているのか?(誰にもたぶん話した
ことないです。初公開)
 それは。。。
 800x600のサブ・ディスプレイを持っているからです。。。
 http://marsee101.web.fc2.com/cmos_image_sensor.
html
18
使用するOSとフレームバッファ
 Digilent Linux
 カメラ用のフレームバッファはどうしよう?
 Linux初心者 - フレームバッファを設定できない
 他の領域を使うとLinux本体を壊す可能性がある
 そうだ。Digilent Linuxの標準ではHDの画面にペンギン
2匹のみ表示されているので、余っている部分を使う
 表示サイズは800x600なので、それで十分
 その下にラプラシアンフィルタの表示領域を確保
19
HDMI出力画面
20
VGAポートの画像
21
フレームバッファを使うために
Linuxカーネル調査
 ZedBoardのLinuxカーネルコンパイルのテスト
 UbuntuでDigilent Linuxのコンパイルのテスト - 成功
 Digilent Linuxを解析して、フレームバッファのアドレスをアロケート
している部分を特定した
 linux-digilent-master/drivers/gpu/drm/drm_fb_cma_helper.c の
drm_fbdev_cma_create()
 FBのアドレスをprintk()で起動メッセージに表示
 SDKでDevice Treeを生成
 xilinx.dtsを生成
 DTCでDevice Tree をコンパイル
 xilinx.dts をコンパイルして、xilinx.dtb を生成
 ZedBoard用Digilent LinuxのSysfs
 /sys/devices/axi.0にAXIバスIPのアドレスのディレクトリ
 Linux起動時(/etc/init.d/rcS)にFBのアドレスを調べる
 カメラ表示用FBの開始アドレスをファイル(fb_start_addr.txt)に書く
 カメラ表示用FBの開始アドレスを各IPのアドレス開始番地レジスタに書
く22
ラプラシアンフィルタをソフトウェアで実装
 fb_start_addr.txtを読んでFBの開始アドレスを確認
 mmap()を使用して物理アドレスにアクセス
 ルート権限
 (注意)ここからmmap()と言っているのは、mmap()単体では
ありません
 /dev/memをオープン
 malloc()で、8k-1バイトの領域を確保(ポインタに代入)
 ページ境界までポインタを進めて、mmap()で物理アドレスを
マップ
 RPi Low-level peripheralsのsetup_io()ルーチンを流用(現
在は変更されている)
 munmap()で領域を開放
 munmap()+ free() で領域を開放
23
ラプラシアンフィルタの最初の実装(実装1)
 ラプラシアン・フィルタの値を算出するには3x3の画像のピク
セルを使用して、真ん中の1点を演算する
 オレンジ色の四角の9点を使用して、黄緑色の1点を計算す
るのだが、9点のメモリを読みために、それぞれ mmap() して
からReadして、munmap() している
 9回、 mmap() と munmap()
を繰り返す
 その後、Writeのために mmap()
で領域を確保し、そして、
munmap() で領域を
開放している
 1画面分で139秒
http://marsee101.blog19.fc2.com/blog-entry-2595.html
24
ラプラシアンフィルタの2番目の実装(実装2)
 カメラ画像のRead領域とラプラシアンフィルタ出力Write
領域を4kバイトずつmmap()
 4kバイトを超えたらmummap()して次の4kバイトを
mmap()
 1行のメモリ量は800ピクセルX4バイト=3.2kバイト
 ラプラシアンフィルタは3行分の領域を使用する
 1回のラプラシアンフィルタ処理でReadは2回munmap()、
mmap()を実行
 Writeは出力アドレスが4kバイトを超えたらmunmap()、
mmap()
 実行時間39秒
 http://marsee101.blog19.fc2.com/blog-entry-
2594.html
25
ソフトウェアのプロファイリング1
 gettimeofday()を入れて時間計測
 mmap()、munmap()の回数測定
 実装1のmmap(), munmap()数と実行時間
 rmmap_cnt = 4294836
 wmmap_cnt = 480000
 total time = 138 sec
 実装2のmmap(), munmap()数と実行時間
 rmmap_cnt = 1223626
 wmmap_cnt = 469
 total time = 37 sec
 実装2の方がmmap(), munmap()数が圧倒的に少ない
 http://marsee101.blog19.fc2.com/blog-entry-
2597.html
26
ソフトウェアのプロファイリング2
 2つの独立な環境での測定値が取れた
 mmap()、munmap()の処理時間(x)とそれ以外のラプラ
シアンフィルタの処理時間(y)を計算してみた
 4774836x + y = 138 ----- 式1
 1224095x + y = 37 -----式2
 式1と式2を解くと、x = 28.4 usec, y = 2.18 sec が導け
た
 検算をしてみよう
 5,000回のmmap(), munmap() 関連の実際の実行時間
を測定した
 29usec ー 計算値とほぼ等しい
 http://marsee101.blog19.fc2.com/blog-entry-
2597.html
27
ラプラシアンフィルタのハードウェアの実装
 ソフトウェアの最適をする前
にハードウェアの実装につ
いて見てみよう
 1ライン分のラインFIFOを2
つ用意
 3x3のFFを用意して1クロッ
クごとに演算する
 Laplacian_cal_val <=
"01000"*(XnYn) -
("00000"&Xnm1Ynm1) -
("00000"&XnYnm1) -
("00000"&Xnp1Ynm1) -
("00000"&Xnm1Yn) -
("00000"&Xnp1Yn) -
("00000"&Xnm1Ynp1) -
("00000"&XnYnp1) -
("00000"&Xnp1Ynp1) ;
 http://marsee101.blog19.fc
2.com/blog-entry-
1291.html
28
ソフトウェアの最適化
 ARMの1st Dキャッシュは32kバイト
 3ライン分の9.6kバイトは入るかも?少なくとも2ndキャッシュ
 最初は3ライン分Readして、それを使って1ライン分のラプラ
シアンフィルタの処理を行う(ローカル変数を使用して、キャッ
シュにPrefetch)
 次からは、前の2ラインは使えるので、1ラインだけReadする
 結果
 処理時間 439msec
 rmmap_cnt = 469
 wmmap_cnt = 469
 mmap(), munmap() の処理時間は、469回 x 2 x 28usec ≒
26.3msec それほど大きい割合では無くなった
 http://marsee101.blog19.fc2.com/blog-entry-2598.html
29
ソフトウェアの最適化
(ハードウェアと同じ方式)
 フレームの最初に2ラインを読む
 2つピクセルを保存
 1つピクセルを読んで、保存しながら、真ん中のラプラシ
アン・フィルタの値を計算していく
 処理時間434msec ー 更に5msecほど短縮
 conv_rgb2y() 関数で使用しているfloatをintに変換
 係数が小数なので、256倍して整数に変換し、結果を
256で割る
 処理時間391msec ー 更に45msecほど短縮
 http://marsee101.blog19.fc2.com/blog-entry-
2599.html
30
Vivado HLSについて
 Xilinx社の純正高位合成ツール
 C, C++, SystemCをVHDLやVerilog HDLに変換
 EDK用のIPやVivado用IPを生成
 AXIバスの自動生成機能
 AXI Lite Slave IP(レジスタ設定)、AXI Master IP単体でも使
える
 ソフトウェアからハードウェアにオフロード
 ラプラシアンフィルタをCコードからVerilog HDLに変換できる
のでは?
 ラプラシアンフィルタ式のみをハードウェア化
 AXI Lite Slaveバス
 ラプラシアンフィルタ回路全体をハードウェア化
 AXI Masterバス
31
Vivado HLSでラプラシアンフィルタ式のみを
ハードウェア化1
// laplacian_filter axi lite slave version
#include <stdio.h>
int laplacian_filter(int *x0y0, int *x1y0, int *x2y0, int *x0y1, int *x1y1,
int *x2y1, int *x0y2, int *x1y2, int *x2y2)
{
#pragma HLS PIPELINE
#pragma HLS INTERFACE ap_hs port=x0y0
#pragma HLS INTERFACE ap_vld port=x1y0
#pragma HLS INTERFACE ap_vld port=x2y0
#pragma HLS INTERFACE ap_vld port=x0y1
#pragma HLS INTERFACE ap_vld port=x1y1
#pragma HLS INTERFACE ap_vld port=x2y1
#pragma HLS INTERFACE ap_vld port=x0y2
#pragma HLS INTERFACE ap_vld port=x1y2
#pragma HLS INTERFACE ap_vld port=x2y2
#pragma HLS RESOURCE variable=x0y0 core=AXI4LiteS
metadata="-bus_bundle BUS_A"
#pragma HLS RESOURCE variable=x1y0 core=AXI4LiteS
metadata="-bus_bundle BUS_A"
#pragma HLS RESOURCE variable=x2y0 core=AXI4LiteS
metadata="-bus_bundle BUS_A"
#pragma HLS RESOURCE variable=x0y1 core=AXI4LiteS
metadata="-bus_bundle BUS_A"
#pragma HLS RESOURCE variable=x1y1 core=AXI4LiteS
metadata="-bus_bundle BUS_A"
#pragma HLS RESOURCE variable=x2y1 core=AXI4LiteS
metadata="-bus_bundle BUS_A"
#pragma HLS RESOURCE variable=x0y2
core=AXI4LiteS metadata="-bus_bundle BUS_A"
#pragma HLS RESOURCE variable=x1y2
core=AXI4LiteS metadata="-bus_bundle BUS_A"
#pragma HLS RESOURCE variable=x2y2
core=AXI4LiteS metadata="-bus_bundle BUS_A"
#pragma HLS RESOURCE variable=return
core=AXI4LiteS metadata="-bus_bundle BUS_A"
int y;
y = -*x0y0 -*x1y0 -*x2y0 -*x0y1 +8*(*x1y1) -*x2y1 -
*x0y2 -*x1y2 -*x2y2;
if (y<0)
y = 0;
else if (y>255)
y = 255;
return(y);
}
32
Vivado HLSでラプラシアンフィルタ式のみを
ハードウェア化2
 前のスライドのCソースをCからHDLに合成
 AXI Lite Slaveインターフェースを付加してIP化
 IPをXPSプロジェクトにAdd IP
33
Vivado HLSでラプラシアンフィルタ式のみを
ハードウェア化3(ブロック図)
34
注:AXI Interconnectは図から省いてあります
Vivado HLSでラプラシアンフィルタ式のみを
ハードウェア化4
 ハードウェア化の手順
 ラプラシアンフィルタの式のみ演算するAXI Lite Slave IPを
XPSプロジェクトに追加(Vivado HLSで生成)
 ISEで論理合成、インプリメント、ビットストリームの生成
 ハードウェアをエクスポートしてSDKを立ち上げる
 SDKで制御用のソフトウェアを作ってリモートデバック
 結果は1.94sec で、ソフトウェアに比べて5倍遅くなった
 予想していた、なぜか?
 計算量に比べて、IOの時間が長すぎる
 バスプロトコルもソフトウェアで生成する
 http://marsee101.blog19.fc2.com/blog-entry-
2607.html
35
Vivado HLSでラプラシアンフィルタ式のみを
ハードウェア化5
 9個のピクセル・データをWrite
 vld 信号を1にするレジスタWrite がやはり9回続く
 ap_done の状態を見るReadが1回
 ラプラシアン・フィルタの結果をRead(全部で3.96usec)
36
Vivado HLSでラプラシアンフィルタ式のみを
ハードウェア化6
 1つのラプラシアンフィルタの値を求めるのに必要なIO時
間+計算時間は3.96usec
 ラプラシアンフィルタの演算数は 796 X 596
 796 X 596 X 3.96usec = 1.88sec
 ラプラシアンフィルタ処理時間1.94sec のうちの1.88sec
がIO+ハードウェア演算時間
 演算だけハードウェア・アクセラレーションするには計算
がIO時間よりも相対的に重くないと旨味がない
 http://marsee101.blog19.fc2.com/blog-entry-
2609.html
37
Vivado HLSでラプラシアンフィルタ回路全体を
ハードウェア化1
 チューニングしてきたラプラシアンフィルタ・ソフトウェアを
Vivado HLS用に書き直した(まるごとハードウェア化)
 mmap(), munmap() は削除
 Cソースの概要
 memcpy() で画像表示領域からローカル配列にコピー
 ラプラシアンフィルタの演算
 memcpy() でローカル配列からラプラシアンフィルタ表示領域
にコピー
 最初は3ライン memcpy()
 その後からは、前の2ラインは使用して1ラインのみ
memcpy()
 Cソース
 http://marsee101.blog19.fc2.com/blog-entry-2738.html
38
Vivado HLSでラプラシアンフィルタ回路全体を
ハードウェア化2
 ハードウェア化してSDKでソフトウェアを作って実行し見
たが動作せず
 後でバグが有ることが分かって、動作はした(微妙)
 後でお話します
39
自作AXI Master IPによるラプラシアンフィルタ
のハードウェア化1
 Vivado HLSでラプラシアンフィル
タをまるごとハード化したが動作
せず(バグのため)
 自分でVerilog HDLを使ってラプ
ラシアンフィルタのハード化をする
ことにした
 ハード特有の構造はとらないで、
わざとC言語からHLSで合成した
ようにメモリベースで作る
 http://marsee101.blog19.fc2.co
m/blog-entry-2648.html
40
自作AXI Master IPによるラプラシアンフィルタ
のハードウェア化2
 レジスタ設定用のAXI4 Lite Slave モジュール
lap_filter_axim_LiteS_if.v はVivado HLSが生成したファイ
ルを流用した
 http://marsee101.blog19.fc2.com/blog-entry-2657.html
 AXI4 Master IPは自作した
 http://marsee101.blog19.fc2.com/blog-entry-2645.html
 http://marsee101.blog19.fc2.com/blog-entry-2646.html
 http://marsee101.blog19.fc2.com/blog-entry-2647.html
 lap_filter_axim.v
 トップファイル
 line_read_and_y.v
 HORIZONTAL_PIXELS 分のピクセルデータを start_addr から
AXI4 Master Read
 RGB - Y変換を行い、y_data へ出力する
 Dual_Port_Memory.v
41
自作AXI Master IPによるラプラシアンフィルタ
のハードウェア化3(ブロック図)
42
注:AXI Interconnectは図から省いてあります
自作AXI Master IPによるラプラシアンフィルタ
のハードウェア化4
 一応完成。
 一応と付けたのは連続で動かすと動かないから
 いろいろやることあるし、とりあえず一発動けば良いや
 結果
 処理時間 23.6msec
 最適化したソフトウェア laplacian_filter.elf の実行時間は約
398msec だったので、398 / 23.6 ≒ 16.9倍、高速になった
 ハードウェア処理のラプラシアン・フィルタ部分のみの経過時
間 10.1msec
 60fpsのフレームレートの時間間隔は16.7msec
 それより短いので完成
 http://marsee101.blog19.fc2.com/blog-entry-
2661.html
43
再度Vivado HLSでラプラシアンフィルタ回路全
体をハードウェア化1
 匿名希望様にデバックして頂いてバグとVivado HLSのレジ
スタアクセスの書き方がわかった
 もう一度、Vivado HLSでラプラシアンフィルタ全体のIP化に
挑戦
 結果
 ラプラシアンフィルタの出力画像がソフトウェアと違う
 ラプラシアンフィルタ関数、RGB-Y変換関数は同じ記述なのになぜ
だろうか?
 処理時間は100msec
 ソフトウェアの約4倍高速だけど、微妙な値
 結果のURL
 http://marsee101.blog19.fc2.com/blog-entry-2737.html
 ソースのURL
 http://marsee101.blog19.fc2.com/blog-entry-2738.html
44
再度Vivado HLSでラプラシアンフィルタ回路全
体をハードウェア化2
ラプラシアンフィルタ処理後の画像
(ソフトウェア使用)
ラプラシアンフィルタ処理後の画像
(Vivado HLSで生成したIPを使用)
45
再度Vivado HLSでラプラシアンフィルタ回路全
体をハードウェア化3
 Vivado HLSは pragma で性能を上げられるはずなのだ
が、今のところうまく行っていない
46
まとめ
 ZedBoardにカメラを付けて、画像をディスプレイに表示
 ソフトウェアでラプラシアンフィルタを実装
 最適化を行った。最終的に0.39秒で実行
 Vivado HLSでラプラシアンフィルタ式のみをAXI Lite
Slave IPとして実装したが、ソフトウェアより遅くなった
(1.94秒)
 AXI4 Master IPとしてラプラシアンフィルタ全体をハード
ウェアで実装した(0.03秒)
 Vivado HLSでラプラシアンフィルタ全体をハードウェア
化(0.1秒)
 この発表のラプラシアンフィルタについての情報
 http://marsee101.web.fc2.com/lap_filter_soft2hard.html47
その後
48
 Vivado HLS 2014.1から高位合成したラプラシアンフィルタ
の結果が正しくなった
 http://marsee101.blog19.fc2.com/blog-entry-2800.html
 Vivado HLSは、AXIバス関連のディレクティブが変更になっ
て、このスライドに書いてあるディレクティブではコンパイルで
きなくなった
 Vivado HLSはとても便利に使うことができる
 Vivado HLSでもCソースコードを最適化すると、15.3msでラ
プラシアンフィルタ処理を完了できた(使用したボードは
ZYBO)
 http://marsee101.blog19.fc2.com/blog-entry-3120.html
 これからは、Vivado HLSで書ける所はなるべく使っていこう
と思う
 2015/07/23 更新

More Related Content

What's hot

FPGA+SoC+Linux実践勉強会資料
FPGA+SoC+Linux実践勉強会資料FPGA+SoC+Linux実践勉強会資料
FPGA+SoC+Linux実践勉強会資料一路 川染
 
いまさら聞けない!CUDA高速化入門
いまさら聞けない!CUDA高速化入門いまさら聞けない!CUDA高速化入門
いまさら聞けない!CUDA高速化入門Fixstars Corporation
 
ZynqMPのブートとパワーマネージメント : (ZynqMP Boot and Power Management)
ZynqMPのブートとパワーマネージメント : (ZynqMP Boot and Power Management)ZynqMPのブートとパワーマネージメント : (ZynqMP Boot and Power Management)
ZynqMPのブートとパワーマネージメント : (ZynqMP Boot and Power Management)Mr. Vengineer
 
Vivado hls勉強会3(axi4 lite slave)
Vivado hls勉強会3(axi4 lite slave)Vivado hls勉強会3(axi4 lite slave)
Vivado hls勉強会3(axi4 lite slave)marsee101
 
いまさら聞けないarmを使ったNEONの基礎と活用事例
いまさら聞けないarmを使ったNEONの基礎と活用事例いまさら聞けないarmを使ったNEONの基礎と活用事例
いまさら聞けないarmを使ったNEONの基礎と活用事例Fixstars Corporation
 
Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)
Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)
Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)marsee101
 
ARM CPUにおけるSIMDを用いた高速計算入門
ARM CPUにおけるSIMDを用いた高速計算入門ARM CPUにおけるSIMDを用いた高速計算入門
ARM CPUにおけるSIMDを用いた高速計算入門Fixstars Corporation
 
Vivado hls勉強会4(axi4 master)
Vivado hls勉強会4(axi4 master)Vivado hls勉強会4(axi4 master)
Vivado hls勉強会4(axi4 master)marsee101
 
Zynq + Vivado HLS入門
Zynq + Vivado HLS入門Zynq + Vivado HLS入門
Zynq + Vivado HLS入門narusugimoto
 
Interrupt Affinityについて
Interrupt AffinityについてInterrupt Affinityについて
Interrupt AffinityについてTakuya ASADA
 
1076: CUDAデバッグ・プロファイリング入門
1076: CUDAデバッグ・プロファイリング入門1076: CUDAデバッグ・プロファイリング入門
1076: CUDAデバッグ・プロファイリング入門NVIDIA Japan
 
Rust で RTOS を考える
Rust で RTOS を考えるRust で RTOS を考える
Rust で RTOS を考えるryuz88
 
3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)
3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)
3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)Kuniyasu Suzaki
 
組み込み関数(intrinsic)によるSIMD入門
組み込み関数(intrinsic)によるSIMD入門組み込み関数(intrinsic)によるSIMD入門
組み込み関数(intrinsic)によるSIMD入門Norishige Fukushima
 
カスタムメモリマネージャと高速なメモリアロケータについて
カスタムメモリマネージャと高速なメモリアロケータについてカスタムメモリマネージャと高速なメモリアロケータについて
カスタムメモリマネージャと高速なメモリアロケータについてalwei
 
Intro to SVE 富岳のA64FXを触ってみた
Intro to SVE 富岳のA64FXを触ってみたIntro to SVE 富岳のA64FXを触ってみた
Intro to SVE 富岳のA64FXを触ってみたMITSUNARI Shigeo
 
LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)
LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)
LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)Takeshi Yamamuro
 
Yoctoで綺麗なkernel configを作る
Yoctoで綺麗なkernel configを作るYoctoで綺麗なkernel configを作る
Yoctoで綺麗なkernel configを作るshimadah
 
AVX-512(フォーマット)詳解
AVX-512(フォーマット)詳解AVX-512(フォーマット)詳解
AVX-512(フォーマット)詳解MITSUNARI Shigeo
 
NVIDIA HPC ソフトウエア斜め読み
NVIDIA HPC ソフトウエア斜め読みNVIDIA HPC ソフトウエア斜め読み
NVIDIA HPC ソフトウエア斜め読みNVIDIA Japan
 

What's hot (20)

FPGA+SoC+Linux実践勉強会資料
FPGA+SoC+Linux実践勉強会資料FPGA+SoC+Linux実践勉強会資料
FPGA+SoC+Linux実践勉強会資料
 
いまさら聞けない!CUDA高速化入門
いまさら聞けない!CUDA高速化入門いまさら聞けない!CUDA高速化入門
いまさら聞けない!CUDA高速化入門
 
ZynqMPのブートとパワーマネージメント : (ZynqMP Boot and Power Management)
ZynqMPのブートとパワーマネージメント : (ZynqMP Boot and Power Management)ZynqMPのブートとパワーマネージメント : (ZynqMP Boot and Power Management)
ZynqMPのブートとパワーマネージメント : (ZynqMP Boot and Power Management)
 
Vivado hls勉強会3(axi4 lite slave)
Vivado hls勉強会3(axi4 lite slave)Vivado hls勉強会3(axi4 lite slave)
Vivado hls勉強会3(axi4 lite slave)
 
いまさら聞けないarmを使ったNEONの基礎と活用事例
いまさら聞けないarmを使ったNEONの基礎と活用事例いまさら聞けないarmを使ったNEONの基礎と活用事例
いまさら聞けないarmを使ったNEONの基礎と活用事例
 
Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)
Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)
Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)
 
ARM CPUにおけるSIMDを用いた高速計算入門
ARM CPUにおけるSIMDを用いた高速計算入門ARM CPUにおけるSIMDを用いた高速計算入門
ARM CPUにおけるSIMDを用いた高速計算入門
 
Vivado hls勉強会4(axi4 master)
Vivado hls勉強会4(axi4 master)Vivado hls勉強会4(axi4 master)
Vivado hls勉強会4(axi4 master)
 
Zynq + Vivado HLS入門
Zynq + Vivado HLS入門Zynq + Vivado HLS入門
Zynq + Vivado HLS入門
 
Interrupt Affinityについて
Interrupt AffinityについてInterrupt Affinityについて
Interrupt Affinityについて
 
1076: CUDAデバッグ・プロファイリング入門
1076: CUDAデバッグ・プロファイリング入門1076: CUDAデバッグ・プロファイリング入門
1076: CUDAデバッグ・プロファイリング入門
 
Rust で RTOS を考える
Rust で RTOS を考えるRust で RTOS を考える
Rust で RTOS を考える
 
3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)
3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)
3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)
 
組み込み関数(intrinsic)によるSIMD入門
組み込み関数(intrinsic)によるSIMD入門組み込み関数(intrinsic)によるSIMD入門
組み込み関数(intrinsic)によるSIMD入門
 
カスタムメモリマネージャと高速なメモリアロケータについて
カスタムメモリマネージャと高速なメモリアロケータについてカスタムメモリマネージャと高速なメモリアロケータについて
カスタムメモリマネージャと高速なメモリアロケータについて
 
Intro to SVE 富岳のA64FXを触ってみた
Intro to SVE 富岳のA64FXを触ってみたIntro to SVE 富岳のA64FXを触ってみた
Intro to SVE 富岳のA64FXを触ってみた
 
LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)
LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)
LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)
 
Yoctoで綺麗なkernel configを作る
Yoctoで綺麗なkernel configを作るYoctoで綺麗なkernel configを作る
Yoctoで綺麗なkernel configを作る
 
AVX-512(フォーマット)詳解
AVX-512(フォーマット)詳解AVX-512(フォーマット)詳解
AVX-512(フォーマット)詳解
 
NVIDIA HPC ソフトウエア斜め読み
NVIDIA HPC ソフトウエア斜め読みNVIDIA HPC ソフトウエア斜め読み
NVIDIA HPC ソフトウエア斜め読み
 

Viewers also liked

Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識
Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識
Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識marsee101
 
高位合成ツールVivado hlsのopen cv対応
高位合成ツールVivado hlsのopen cv対応高位合成ツールVivado hlsのopen cv対応
高位合成ツールVivado hlsのopen cv対応marsee101
 
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」marsee101
 
Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出marsee101
 
MPSoCのPLの性能について
MPSoCのPLの性能についてMPSoCのPLの性能について
MPSoCのPLの性能についてmarsee101
 
Xilinx SDSoC(2016.3)解体新書ソフトウェア編
Xilinx SDSoC(2016.3)解体新書ソフトウェア編Xilinx SDSoC(2016.3)解体新書ソフトウェア編
Xilinx SDSoC(2016.3)解体新書ソフトウェア編Mr. Vengineer
 
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)Kei Nakazawa
 
SDSoC でストリーム
SDSoC でストリームSDSoC でストリーム
SDSoC でストリームryos36
 
SDSoC 2016.3+yocto project
SDSoC 2016.3+yocto projectSDSoC 2016.3+yocto project
SDSoC 2016.3+yocto projectHidemi Ishihara
 
FPGAの部屋、slide share、xilinxツールのご紹介
FPGAの部屋、slide share、xilinxツールのご紹介FPGAの部屋、slide share、xilinxツールのご紹介
FPGAの部屋、slide share、xilinxツールのご紹介marsee101
 
PYNQで○○してみた!
PYNQで○○してみた!PYNQで○○してみた!
PYNQで○○してみた!aster_ism
 
PYNQ祭りLT todotani
PYNQ祭りLT todotaniPYNQ祭りLT todotani
PYNQ祭りLT todotaniKenshi Kamiya
 
Situational leadership theory
Situational leadership theorySituational leadership theory
Situational leadership theoryRohit Kumar
 
In-Database Analyticsの必要性と可能性
In-Database Analyticsの必要性と可能性In-Database Analyticsの必要性と可能性
In-Database Analyticsの必要性と可能性Satoshi Nagayasu
 

Viewers also liked (17)

Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識
Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識
Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識
 
高位合成ツールVivado hlsのopen cv対応
高位合成ツールVivado hlsのopen cv対応高位合成ツールVivado hlsのopen cv対応
高位合成ツールVivado hlsのopen cv対応
 
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
 
Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出
 
MPSoCのPLの性能について
MPSoCのPLの性能についてMPSoCのPLの性能について
MPSoCのPLの性能について
 
Xilinx SDSoC(2016.3)解体新書ソフトウェア編
Xilinx SDSoC(2016.3)解体新書ソフトウェア編Xilinx SDSoC(2016.3)解体新書ソフトウェア編
Xilinx SDSoC(2016.3)解体新書ソフトウェア編
 
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
 
SDSoC でストリーム
SDSoC でストリームSDSoC でストリーム
SDSoC でストリーム
 
SDSoC 2016.3+yocto project
SDSoC 2016.3+yocto projectSDSoC 2016.3+yocto project
SDSoC 2016.3+yocto project
 
$30で始めるFPGA
$30で始めるFPGA$30で始めるFPGA
$30で始めるFPGA
 
FPGAの部屋、slide share、xilinxツールのご紹介
FPGAの部屋、slide share、xilinxツールのご紹介FPGAの部屋、slide share、xilinxツールのご紹介
FPGAの部屋、slide share、xilinxツールのご紹介
 
PYNQで○○してみた!
PYNQで○○してみた!PYNQで○○してみた!
PYNQで○○してみた!
 
PYNQ祭り
PYNQ祭りPYNQ祭り
PYNQ祭り
 
Pynq祭り資料
Pynq祭り資料Pynq祭り資料
Pynq祭り資料
 
PYNQ祭りLT todotani
PYNQ祭りLT todotaniPYNQ祭りLT todotani
PYNQ祭りLT todotani
 
Situational leadership theory
Situational leadership theorySituational leadership theory
Situational leadership theory
 
In-Database Analyticsの必要性と可能性
In-Database Analyticsの必要性と可能性In-Database Analyticsの必要性と可能性
In-Database Analyticsの必要性と可能性
 

Similar to ラプラシアンフィルタをZedBoardで実装(ソフトウェアからハードウェアにオフロード)

関東GPGPU勉強会 LLVM meets GPU
関東GPGPU勉強会 LLVM meets GPU関東GPGPU勉強会 LLVM meets GPU
関東GPGPU勉強会 LLVM meets GPUTakuro Iizuka
 
VPP事始め
VPP事始めVPP事始め
VPP事始めnpsg
 
Dalvik仮想マシンのアーキテクチャ 改訂版
Dalvik仮想マシンのアーキテクチャ 改訂版Dalvik仮想マシンのアーキテクチャ 改訂版
Dalvik仮想マシンのアーキテクチャ 改訂版Takuya Matsunaga
 
FPGAスタートアップ資料
FPGAスタートアップ資料FPGAスタートアップ資料
FPGAスタートアップ資料marsee101
 
FD.io VPP事始め
FD.io VPP事始めFD.io VPP事始め
FD.io VPP事始めtetsusat
 
Jetson Xavier NX クラウドネイティブをエッジに
Jetson Xavier NX クラウドネイティブをエッジにJetson Xavier NX クラウドネイティブをエッジに
Jetson Xavier NX クラウドネイティブをエッジにNVIDIA Japan
 
Xilinx SDSoC(2016.2)解体新書ソフトウェア編
Xilinx SDSoC(2016.2)解体新書ソフトウェア編Xilinx SDSoC(2016.2)解体新書ソフトウェア編
Xilinx SDSoC(2016.2)解体新書ソフトウェア編Mr. Vengineer
 
OpenStack Neutronの機能概要 - OpenStack最新情報セミナー 2014年12月
OpenStack Neutronの機能概要 - OpenStack最新情報セミナー 2014年12月OpenStack Neutronの機能概要 - OpenStack最新情報セミナー 2014年12月
OpenStack Neutronの機能概要 - OpenStack最新情報セミナー 2014年12月VirtualTech Japan Inc.
 
2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介
2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介
2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介Hiroki Nakahara
 
ACRiウェビナー:岩渕様ご講演資料
ACRiウェビナー:岩渕様ご講演資料ACRiウェビナー:岩渕様ご講演資料
ACRiウェビナー:岩渕様ご講演資料直久 住川
 
Using Kubernetes on Google Container Engine
Using Kubernetes on Google Container EngineUsing Kubernetes on Google Container Engine
Using Kubernetes on Google Container EngineEtsuji Nakai
 
Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介
Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介
Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介IBM Analytics Japan
 
Vitisのご紹介とAmazon EC2 F1体験デモ
Vitisのご紹介とAmazon EC2 F1体験デモVitisのご紹介とAmazon EC2 F1体験デモ
Vitisのご紹介とAmazon EC2 F1体験デモJun Ando
 
FPGA startup 第一回 LT
FPGA startup 第一回 LTFPGA startup 第一回 LT
FPGA startup 第一回 LTYamato Kazuhiro
 
Docker Swarm モード にゅうもん
Docker Swarm モード にゅうもんDocker Swarm モード にゅうもん
Docker Swarm モード にゅうもんMasahito Zembutsu
 
NVIDIA Jetson Edge Computing Digital Seminar Special Edition, JETSON XAVIER NX
NVIDIA Jetson Edge Computing Digital Seminar Special Edition, JETSON XAVIER NXNVIDIA Jetson Edge Computing Digital Seminar Special Edition, JETSON XAVIER NX
NVIDIA Jetson Edge Computing Digital Seminar Special Edition, JETSON XAVIER NXAya Owosekun
 
Exploring the x64
Exploring the x64Exploring the x64
Exploring the x64FFRI, Inc.
 
20210515 cae linux_install_vb
20210515 cae linux_install_vb20210515 cae linux_install_vb
20210515 cae linux_install_vbYohichiShiina
 

Similar to ラプラシアンフィルタをZedBoardで実装(ソフトウェアからハードウェアにオフロード) (20)

関東GPGPU勉強会 LLVM meets GPU
関東GPGPU勉強会 LLVM meets GPU関東GPGPU勉強会 LLVM meets GPU
関東GPGPU勉強会 LLVM meets GPU
 
VPP事始め
VPP事始めVPP事始め
VPP事始め
 
Dalvik仮想マシンのアーキテクチャ 改訂版
Dalvik仮想マシンのアーキテクチャ 改訂版Dalvik仮想マシンのアーキテクチャ 改訂版
Dalvik仮想マシンのアーキテクチャ 改訂版
 
FPGAスタートアップ資料
FPGAスタートアップ資料FPGAスタートアップ資料
FPGAスタートアップ資料
 
FD.io VPP事始め
FD.io VPP事始めFD.io VPP事始め
FD.io VPP事始め
 
Jetson Xavier NX クラウドネイティブをエッジに
Jetson Xavier NX クラウドネイティブをエッジにJetson Xavier NX クラウドネイティブをエッジに
Jetson Xavier NX クラウドネイティブをエッジに
 
Xilinx SDSoC(2016.2)解体新書ソフトウェア編
Xilinx SDSoC(2016.2)解体新書ソフトウェア編Xilinx SDSoC(2016.2)解体新書ソフトウェア編
Xilinx SDSoC(2016.2)解体新書ソフトウェア編
 
OpenStack Neutronの機能概要 - OpenStack最新情報セミナー 2014年12月
OpenStack Neutronの機能概要 - OpenStack最新情報セミナー 2014年12月OpenStack Neutronの機能概要 - OpenStack最新情報セミナー 2014年12月
OpenStack Neutronの機能概要 - OpenStack最新情報セミナー 2014年12月
 
2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介
2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介
2値ディープニューラルネットワークと組込み機器への応用: 開発中のツール紹介
 
ACRiウェビナー:岩渕様ご講演資料
ACRiウェビナー:岩渕様ご講演資料ACRiウェビナー:岩渕様ご講演資料
ACRiウェビナー:岩渕様ご講演資料
 
Hbstudy41 auto scaling
Hbstudy41 auto scalingHbstudy41 auto scaling
Hbstudy41 auto scaling
 
Using Kubernetes on Google Container Engine
Using Kubernetes on Google Container EngineUsing Kubernetes on Google Container Engine
Using Kubernetes on Google Container Engine
 
Pdp11 on-fpga
Pdp11 on-fpgaPdp11 on-fpga
Pdp11 on-fpga
 
Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介
Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介
Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介
 
Vitisのご紹介とAmazon EC2 F1体験デモ
Vitisのご紹介とAmazon EC2 F1体験デモVitisのご紹介とAmazon EC2 F1体験デモ
Vitisのご紹介とAmazon EC2 F1体験デモ
 
FPGA startup 第一回 LT
FPGA startup 第一回 LTFPGA startup 第一回 LT
FPGA startup 第一回 LT
 
Docker Swarm モード にゅうもん
Docker Swarm モード にゅうもんDocker Swarm モード にゅうもん
Docker Swarm モード にゅうもん
 
NVIDIA Jetson Edge Computing Digital Seminar Special Edition, JETSON XAVIER NX
NVIDIA Jetson Edge Computing Digital Seminar Special Edition, JETSON XAVIER NXNVIDIA Jetson Edge Computing Digital Seminar Special Edition, JETSON XAVIER NX
NVIDIA Jetson Edge Computing Digital Seminar Special Edition, JETSON XAVIER NX
 
Exploring the x64
Exploring the x64Exploring the x64
Exploring the x64
 
20210515 cae linux_install_vb
20210515 cae linux_install_vb20210515 cae linux_install_vb
20210515 cae linux_install_vb
 

More from marsee101

Robot car gabor_filter
Robot car gabor_filterRobot car gabor_filter
Robot car gabor_filtermarsee101
 
Ultra96 pmod expansion board
Ultra96 pmod expansion boardUltra96 pmod expansion board
Ultra96 pmod expansion boardmarsee101
 
Camera input from_ultra_96's_slow_expansion_connector
Camera input from_ultra_96's_slow_expansion_connectorCamera input from_ultra_96's_slow_expansion_connector
Camera input from_ultra_96's_slow_expansion_connectormarsee101
 
Fpga robot car
Fpga robot carFpga robot car
Fpga robot carmarsee101
 
Fpgaでの非同期信号の扱い方とvivadoによるサポート(公開用)
Fpgaでの非同期信号の扱い方とvivadoによるサポート(公開用)Fpgaでの非同期信号の扱い方とvivadoによるサポート(公開用)
Fpgaでの非同期信号の扱い方とvivadoによるサポート(公開用)marsee101
 
「ゼロから作るDeep learning」の畳み込みニューラルネットワークのハードウェア化
「ゼロから作るDeep learning」の畳み込みニューラルネットワークのハードウェア化「ゼロから作るDeep learning」の畳み込みニューラルネットワークのハードウェア化
「ゼロから作るDeep learning」の畳み込みニューラルネットワークのハードウェア化marsee101
 

More from marsee101 (6)

Robot car gabor_filter
Robot car gabor_filterRobot car gabor_filter
Robot car gabor_filter
 
Ultra96 pmod expansion board
Ultra96 pmod expansion boardUltra96 pmod expansion board
Ultra96 pmod expansion board
 
Camera input from_ultra_96's_slow_expansion_connector
Camera input from_ultra_96's_slow_expansion_connectorCamera input from_ultra_96's_slow_expansion_connector
Camera input from_ultra_96's_slow_expansion_connector
 
Fpga robot car
Fpga robot carFpga robot car
Fpga robot car
 
Fpgaでの非同期信号の扱い方とvivadoによるサポート(公開用)
Fpgaでの非同期信号の扱い方とvivadoによるサポート(公開用)Fpgaでの非同期信号の扱い方とvivadoによるサポート(公開用)
Fpgaでの非同期信号の扱い方とvivadoによるサポート(公開用)
 
「ゼロから作るDeep learning」の畳み込みニューラルネットワークのハードウェア化
「ゼロから作るDeep learning」の畳み込みニューラルネットワークのハードウェア化「ゼロから作るDeep learning」の畳み込みニューラルネットワークのハードウェア化
「ゼロから作るDeep learning」の畳み込みニューラルネットワークのハードウェア化
 

Recently uploaded

論文紹介:Automated Classification of Model Errors on ImageNet
論文紹介:Automated Classification of Model Errors on ImageNet論文紹介:Automated Classification of Model Errors on ImageNet
論文紹介:Automated Classification of Model Errors on ImageNetToru Tamaki
 
TSAL operation mechanism and circuit diagram.pdf
TSAL operation mechanism and circuit diagram.pdfTSAL operation mechanism and circuit diagram.pdf
TSAL operation mechanism and circuit diagram.pdftaisei2219
 
論文紹介:Content-Aware Token Sharing for Efficient Semantic Segmentation With Vis...
論文紹介:Content-Aware Token Sharing for Efficient Semantic Segmentation With Vis...論文紹介:Content-Aware Token Sharing for Efficient Semantic Segmentation With Vis...
論文紹介:Content-Aware Token Sharing for Efficient Semantic Segmentation With Vis...Toru Tamaki
 
Open Source UN-Conference 2024 Kawagoe - 独自OS「DaisyOS GB」の紹介
Open Source UN-Conference 2024 Kawagoe - 独自OS「DaisyOS GB」の紹介Open Source UN-Conference 2024 Kawagoe - 独自OS「DaisyOS GB」の紹介
Open Source UN-Conference 2024 Kawagoe - 独自OS「DaisyOS GB」の紹介Yuma Ohgami
 
TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案
TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案
TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案sugiuralab
 
【早稲田AI研究会 講義資料】3DスキャンとTextTo3Dのツールを知ろう!(Vol.1)
【早稲田AI研究会 講義資料】3DスキャンとTextTo3Dのツールを知ろう!(Vol.1)【早稲田AI研究会 講義資料】3DスキャンとTextTo3Dのツールを知ろう!(Vol.1)
【早稲田AI研究会 講義資料】3DスキャンとTextTo3Dのツールを知ろう!(Vol.1)Hiroki Ichikura
 
論文紹介:Semantic segmentation using Vision Transformers: A survey
論文紹介:Semantic segmentation using Vision Transformers: A survey論文紹介:Semantic segmentation using Vision Transformers: A survey
論文紹介:Semantic segmentation using Vision Transformers: A surveyToru Tamaki
 
SOPを理解する 2024/04/19 の勉強会で発表されたものです
SOPを理解する       2024/04/19 の勉強会で発表されたものですSOPを理解する       2024/04/19 の勉強会で発表されたものです
SOPを理解する 2024/04/19 の勉強会で発表されたものですiPride Co., Ltd.
 

Recently uploaded (8)

論文紹介:Automated Classification of Model Errors on ImageNet
論文紹介:Automated Classification of Model Errors on ImageNet論文紹介:Automated Classification of Model Errors on ImageNet
論文紹介:Automated Classification of Model Errors on ImageNet
 
TSAL operation mechanism and circuit diagram.pdf
TSAL operation mechanism and circuit diagram.pdfTSAL operation mechanism and circuit diagram.pdf
TSAL operation mechanism and circuit diagram.pdf
 
論文紹介:Content-Aware Token Sharing for Efficient Semantic Segmentation With Vis...
論文紹介:Content-Aware Token Sharing for Efficient Semantic Segmentation With Vis...論文紹介:Content-Aware Token Sharing for Efficient Semantic Segmentation With Vis...
論文紹介:Content-Aware Token Sharing for Efficient Semantic Segmentation With Vis...
 
Open Source UN-Conference 2024 Kawagoe - 独自OS「DaisyOS GB」の紹介
Open Source UN-Conference 2024 Kawagoe - 独自OS「DaisyOS GB」の紹介Open Source UN-Conference 2024 Kawagoe - 独自OS「DaisyOS GB」の紹介
Open Source UN-Conference 2024 Kawagoe - 独自OS「DaisyOS GB」の紹介
 
TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案
TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案
TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案
 
【早稲田AI研究会 講義資料】3DスキャンとTextTo3Dのツールを知ろう!(Vol.1)
【早稲田AI研究会 講義資料】3DスキャンとTextTo3Dのツールを知ろう!(Vol.1)【早稲田AI研究会 講義資料】3DスキャンとTextTo3Dのツールを知ろう!(Vol.1)
【早稲田AI研究会 講義資料】3DスキャンとTextTo3Dのツールを知ろう!(Vol.1)
 
論文紹介:Semantic segmentation using Vision Transformers: A survey
論文紹介:Semantic segmentation using Vision Transformers: A survey論文紹介:Semantic segmentation using Vision Transformers: A survey
論文紹介:Semantic segmentation using Vision Transformers: A survey
 
SOPを理解する 2024/04/19 の勉強会で発表されたものです
SOPを理解する       2024/04/19 の勉強会で発表されたものですSOPを理解する       2024/04/19 の勉強会で発表されたものです
SOPを理解する 2024/04/19 の勉強会で発表されたものです
 

ラプラシアンフィルタをZedBoardで実装(ソフトウェアからハードウェアにオフロード)