SlideShare a Scribd company logo
1791 Applied Materials 0242‐21289/ROBOT,P5000,DRIVE 8"/ APPLIED MATERIALS
1792 Applied Materials 0242‐24854 / KIT, GVDE, 200MM DPN / APPLIED MATERIALS AMAT
1793 Applied Materials 0242‐37884, AMAT
1794 Applied Materials 0242‐70220, 0010‐13321R / ROBOT,P5000,DRIVE 8 / APPLIED MATERIALS AMAT
1795 Applied Materials 0242‐85184, KiT RF , FEEDTHRU, HR DTCU, RTROFIT DPS
1796 Applied Materials 0242‐86852 / KIT, SPARES, 1.5K WXZ UWAVE / APPLIED MATERIALS AMAT
1797 Applied Materials 0242‐88819 ,0010‐21676/ VECTRA IMP 1 SOURCE ASSY PVD/ AMAT
1798
Applied Materials 0246‐01055 ,0041‐05819, 0041‐27270 /300MM PRODUCER SE, KIT SILANE / 
APPLIED MATE
1799
Applied Materials 0246‐08709 / DPS2 ETCH CHAMBER 300MM ESC CATHODE RF HEATED DPS2/ 
AMAT
1800
Applied Materials 0270‐02530 / ASSY 300MM TOOL VIEW LID 0040‐02290 / APPLIED MATERIALS 
AMAT
1801 Applied Materials 0270‐35183 Susceptor Leveling Tool
1802 Applied Materials 0290‐09018 / HEAT EXCHANGER CONFIGURE / APPLIED MATERIALS
1803 Applied Materials 0290‐09275 Version 4 Teos Hotbox Intel Precision 5000
1804 Applied Materials 0290‐20094 VECTRA IMP CHAMBER, B101, AMAT ENDURA "REFURBISHED"
1805
Applied Materials 0290‐35825 / 5200 CVD AXZ CHAMBER ASSY **STAND NOT INCLUDED**/ APPLIED 
MATERIALS
1806 Applied Materials 03‐83601‐00 PCB Mother Board
1807 Applied Materials 03‐83601‐00 PCB Mother Board
1808 Applied Materials 0500‐00033 / ASSY RAMS,P5000 ONLY / APPLIED MATERIALS AMAT
1809
Applied Materials 0500‐00300 / CONTROLLER, PYRAMID X‐SCAN DOSIMETRY / APPLIED MATERIALS 
AMAT
1810 Applied Materials 0500‐A0181 / OPHIR POWER AMPLIFIER / APPLIED MATERIALS AMAT
1811
Applied Materials 0520‐00037 (or 0520‐00068) ACTR PNEU DBL LOCK 37X435 OPEN ANODIZED, SLIT 
VAL, 07
1812 Applied Materials 0540‐01010; AMAT, AMPULE POWER TRIODE WATER COOLED 5000WATT
1813
Applied Materials 0550‐01013 / SENSOR ‐ANALY‐RGA TRANSPECTOR COMPACT / APPLIED 
MATERIALS AMAT
1814 Applied Materials 0660‐01743 / CARDGMSV46 SINGLE BOARD COMPUTER/ AMAT
1815
Applied Materials 0660‐01847 / CARD PENTIUM 133MHZ 32MB RAM VME BUS DO / APPLIED 
MATERIALS AMAT
1816 Applied Materials 0660‐01847 AMAT CARD PENTIUM 133MHZ 32MB RAM VME BUS DO
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 61 sales@semistarcorp.com
1817 Applied Materials 0660‐90093, Applied Materials, CARD, LOOP CONTROLLER
1818 Applied Materials 0711‐GAS Gas Flow Control Module
1819 Applied Materials 0730‐01046, 0730‐00116; TURBOTRONIK PUMP CONTROLLER
1820
Applied Materials 0920‐00024,3150852‐001 / GEN RF 60MHZ 2KW ATLAS 2060 3PH 208VA/ APPLIED 
MATERIAL
1821 Applied Materials 0920‐01070, 3150058‐002 / RF GENERATOR, RECZ SOURCE, RFPP RF20R / AMAT
1822
Applied Materials 0920‐01111, AMAT, ĢENERATOR MICROWAVE MAGNETRON HEAD Astex 2.45 GHZ 
3KW
1823 Applied Materials 0920‐01124, AMAT, GEN FLUORINE 208VAC 3PHASE W/ ARGON IGNITION
1824 Applied Materials 0920‐01124; AMAT, GENERATOR FLUORINE 208VAC 3PHASE W/ARGON IGNITION
1825 Applied Materials 200mm Ceramic Heater 0040‐42512
1826 Applied Materials 200mm Sputter Etch Chamber
1827 Applied Materials 3750‐01112 TUBE PLASMA SOURCE SAPPHIRE 1/4VCR Astex AMAT
1828 Applied Materials 7810 series Gas Ring 670547
1829 Applied Materials 7810 series Seal plate 670412
1830 APPLIED MATERIALS 8" AXZ PLUS HEATER 0010‐37969
1831 APPLIED MATERIALS 8" CVD CHAMBER WSI GAX BOX 0010‐09940
1832 Applied Materials 8300F (0010‐00172) Pre‐Reactor Chamber
1833 Applied Materials 8300L (0010‐00172) Pre‐Reactor Chamber
1834 Applied Materials AMAT 0010‐04514 ASSEMBLY, ISCAN MODULE
1835 Applied Materials AMAT 0010‐19666 Assy Rotation 300mm HTF / EPI
1836 Applied Materials AMAT 0010‐27419 300mm chamber valve actuator
1837 Applied Materials AMAT 0010‐37549 rev3 ASSEMBLY, ISCAN MODULE
1838 Applied Materials AMAT 0010‐37866 ASSEMBLY, ISCAN MODULE
1839 APPLIED MATERIALS AMAT 0010‐48407 CLEAR LID TRANSFER KIT C3
1840 Applied Materials AMAT 0040‐85475 Ceramic Heater PROD SE/GT 300mm (0010‐59789)
1841 APPLIED MATERIALS AMAT 0041‐42362 PLENUM COVER WELMENT LID
1842 APPLIED MATERIALS AMAT 01‐81150‐00 / REDUCER BOX ASSY MO61‐FD‐301 904‐1016 
1843 Applied Materials AMAT 0190‐37165 Comet 20032711 RF Match
1844 APPLIED MATERIALS AMAT 3870‐04597 NORCAL INTELLISYS IQ PENDULUM GATE VALVE
1845 Applied Materials AMAT Centura CVD T1CL4 TIN Cold Trap
1846 Applied Materials AMAT Centura CVD T1CL4 TIN Cold Trap
1847 APPLIED MATERIALS AMAT DPS CENTURA RASCO CHILLER HEAT EXCH WTC‐A182‐AMJ
1848 APPLIED MATERIALS AMAT DPS CENTURA RASCO CHILLER HEAT EXCH WTC‐D182‐AMJ
1849 APPLIED MATERIALS AMAT EDWARDS DRY VACUUM PUMP IXH200H
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 62 sales@semistarcorp.com
1850 APPLIED MATERIALS AMAT ENDURA CUBS CLEAN AMPOULE 0010‐32021 HEATER VESSEL
1851 APPLIED MATERIALS AMAT ENDURA CUBS CLEAN AMPOULE HEATER VESSEL 0010‐32021
1852 APPLIED MATERIALS AMAT HART CHAMBER LINER 0022‐11420 300MM
1853 Applied Materials AMAT N2 Purge Manifold, 0190‐12164
1854 APPLIED MATERIALS AMAT NESLAB HEAT EXCHANGER 0190‐66224 BOM 614205990210
1855 Applied Materials AMAT Onoda Ozone Generator OR‐4ZA 0920‐01016
1856 Applied Materials AMAT P5000 Flow Assembly, 0010‐01548
1857 Applied Materials AMAT P5000 Robot Blade
1858 APPLIED MATERIALS AMAT PMAX EMISSOMETER PROBE ASSEMBLY 0010‐23715
1859 Applied Materials AMAT PN: 0010‐18024 300mm Radiance Pyro Pyrometer RTP Probe
1860 Applied Materials AMAT PN: 0010‐18024 300mm Radiance Pyro Pyrometer RTP Probe
1861 Applied Materials AMAT STEC Valve Assembly, 3870‐02553
1862 Applied Materials AMAT Susceptor, 0200‐01947
1863 Applied Materials AMAT VAT 15028‐FA24‐1002, 0190‐02238
1864 Applied Materials AMAT VCR Valve Weldment, 0050‐81387
1865 Applied Materials AMAT Veriflo Valve Man., 0050‐84735
1866 APPLIED MATERIALS AMAT YASKAWA XU‐RCM6901 WAFER LOADER ROBOT CMP REFLEXION MIRRA
1867 Applied Materials AMAT‐0190‐17208‐VACUUM HANDLER ASSY.
1868 Applied Materials AME‐3100 Chamber: Sputter Coating System ‐ 3" Magnetron
1869 Applied Materials Assembly Endura Load Ports Wafer Processing 33 ½ x18x18 No Key
1870 Applied Materials Assembly Endura Load Ports Wafer Processing 34 ¼ x18x18 No Key
1871 Applied Materials Astex AX8200A Ozone Generator 0190‐09437 A *untested*
1872 Applied Materials Astex AX8200A Ozone Generator 0190‐09437 A *untested*
1873 APPLIED MATERIALS BASIC MOTORIZED LIFT PVD 0010‐70441
1874 APPLIED MATERIALS CHAMBER INVENTORY LIST
1875 APPLIED MATERIALS CHAMBER LID WSI 0010‐09940
1876 APPLIED MATERIALS CONTROLLER 0090‐91161ITL XR80 IMPLANTER SPIN STATION CHASSIS
1877 APPLIED MATERIALS CONTROLLER 0090‐91436 XR80 IMPLANTER PRE ACCEL AMAT CHASSIS
1878 APPLIED MATERIALS CTI CRYOGENICS IS CRYOPUMP 0190‐31428 8113156G001 w/CONTROLLER
1879 APPLIED MATERIALS CVD/BW 5000 GAS BOX 0010‐09247
1880 APPLIED MATERIALS DPS UPPER LINER ASSY CENTURA 300MM 0040‐37642 0021‐13741
1881 Applied Materials Endura 0010‐96696 Indexer Standard Cassette A 5500 AMAT
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 63 sales@semistarcorp.com
1882 Applied Materials Endura 5500 Load Port AMAT
1883 APPLIED MATERIALS ENDURA MAGNET 0010‐20768
1884 Applied Materials Excite High Speed Particle Detection System w Aerotech DR500
1885 APPLIED MATERIALS HEAT EXCHANGER AMAT 0
1886 APPLIED MATERIALS IMPLANTER 9200 FERO MOTOR 3700‐90056
1887 Applied Materials Inc. 70312800400 HVU High Voltage Ion Implanter Control Panel
1888 APPLIED MATERIALS LOADLOCK 0190‐37105 AXIOM CONDOR VAT VALVE 0430X‐BA24 AMAT
1889 APPLIED MATERIALS OPERATOR CONSOLE VERASEM 3D U‐571
1890 APPLIED MATERIALS P5000 8" ROBOT LIMB 0010‐13321
1891 APPLIED MATERIALS P5000 ETCH CHAMBER LID
1892 APPLIED MATERIALS P5000 ETCH CHAMBER LID
1893 APPLIED MATERIALS P5000 MARK II ETCH CHAMBER LID 0021‐09008
1894 Applied Materials Praxair Ampoule Bubbler, 0195‐02636
1895 Applied Materials Precision 5000 Mark II CVD Teos/Nitride System (REFURBISHED)
1896 APPLIED MATERIALS PRECLEAN CHAMBER PROCESS KIT 0040‐20561
1897 APPLIED MATERIALS RING MAGNET ASSY,UPPER 0010‐30591 AMAT
1898 Applied Materials Storage Elevator Assy w/ motor 0010‐70409
1899 APPLIED MATERIALS TXZ CHAMBER KIT
1900 Applied Materials Universal CVD Chamber, Delta Nitride 6‐8" P‐5000 AMAT
1901 APPLIED MATERIALS UPPER MAGNET ASSY 0010‐30591
1902 APPLIED MATERIALS VERASEM 3D
1903 Applied Materials, AMAT Mirra Mesa CMP Contoure Head 200MM
1904 Applied Materials, AMAT, PVD, Endura 0010‐76136 ASSY, WAFER LIFT HTHU HEATER
1905 APPLIED MATERIALS, RG‐578, COLLAR EXTEND
1906 APPLIED MATERIALS, RG‐579, 8in, COVER, EXTENDED E‐CHUCK
1907 Applied Materials/AMAT 0190‐07261 REV 003 KAWASAKI ROBOT 3NS001S‐L002 PREALIGNER
1908 Applied Materials/AMAT 8330A/8330 6"/150mm Metal Etcher
1909 Applied Materials/AMAT Assy, High EFF RF Match, HTESC PH 1 PN: 0010‐22161 Rev A
1910 Applied Materials/AMAT Endura Upper Shield PVD 300MM
1911 APPLIED MATERIALS‐SEMITOOL RTA COMPUTER MODULE 900T0519‐01
1912 APPLIED MST MONOLAYER DEPOSITION PRODUCTION TOOL SAM‐02
1913 APPLIED PRECISION 53‐450000‐001 / WAFER WORKX PROBE MARK ANALYSER / APPLIED PRECISION
1914 Applied Precision MicroBurst 150mm Ultrasonic Cleaning System
1915 Applied Precision WaferWoRx Olympus MX80‐F Inspection with Genmark Robot
1916 Applied Test Systems 3350‐CA 1700 deg C High Temperature Box Furnace9
1917 Apricot Designs i‐Pipette Pro Automated Pipettor
1918 APS 2000 (Brooks/Irvine) 2 station lot sorter
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 64 sales@semistarcorp.com
1919 APS DDM Novastar Gold‐Flow GF‐12 Bench Top Reflow Oven with Rolling Table
1920 APS NOVASTAR GOLD PLACE MODEL L‐40 PICK & PLACE SYSTEM (#2640)
1921 APS Novastar SP A300 Selective Solder
1922 Aqueous AQ‐300 Defluxing Batch PCB/SMT Cleaner/Cleaning System w/AQ‐15 Recycler
1923 Aqueous Model AQ‐400/SMT Laboratory Dish Washer PC Board Batch Cleaner
1924 Aqueous Technologies SMT 800 Batch Cleaner, REFURBISHED Machine, Parts Warranty
1925 Aqueous Technologies SMT800‐LD Chemical Batch Washer ‐ FREE SHIPPING!
1926 Aqueous Technologies Trident III Duo LD PCB Batch Cleaner
1927 Aqueous Technologies Zero Ion G3‐24 Circuit Board Contamination Tester
1928 Aqueous Trident III (3) PCB SMT Cleaning/Defluxing Washer System/Batch Cleaner
1929 Aquila NKD 8000 thin film analyzer Metrology elipsometer
1930 ARBIN BT‐2000 BT2000 BATTERY TEST SYSTEM (#1745)
1931 Arbin Instruments BT‐2000 Battery Tester
1932 Arbin Instruments BT‐2000 Battery Tester1
1933 Arbin Instruments BT‐2043 32‐CH Potentiostat/Galvanostat Battery Testing Console
1934 Arbin Instruments BT‐2043 Battery Test System9
1935 Arch Epifill Bulk Delivery System Cabinet EPIFILL 850 PN:888043 110v w/ Keys etc
1936 Arcom 7041‐64011‐004‐202 Master and Ctrl Computer 7041‐64012‐004‐102 As‐Is
1937 Aremco Accu‐Cut 5200 Ultra Hard Material Dicing Saw
1938 Argus FS1028 Flat Quartz Crystal Soldering System
1939 AS809 Die Sorter
1940 Asahi 130NK 3‐2 AVIS2 ERG AMP Nikon 4S587‐011 
1941 Asahi 130NK 3‐2A AVIS2 ERG AMP Nikon 4S013‐374 4S587‐011‐1 
1942 ASAHI PYRO KE‐V4‐IN‐02 TEL ALPHA 8 Thermo Couple (T/C), CASCADE CONTROL Type R S
1943 ASC AV873 AOI OFFLINE SERIES
1944 ASC International Decktop SCI with Power Supply and USB cable SP3D
1945 ASC International Laser Vision SP3D‐ADC 3D Solder Paste Inspection
1946 ASC International LaserVision SP3D‐ADC (3D Solder Paste Inspection)
1947 Aseptic isolator compounding USP 797 BioSafe Terra Universal
1948 ASI PS C1180 / PULSE POWER SUPPLY, VIISTA VISION / VARIAN
1949 ASM / ASTEX 1067‐070‐01 / AX7657‐15 GENERATOR‐FLUORINE 3KW ASTRON2L MDM IGN V
1950 ASM / IEI 1014‐510‐01‐R / ACE4518AP/HPE4S1 CONTROLLER‐CLUSTER TOOL RAID
1951 ASM 01‐E27171 /EXPANDER ASSY (6 INCH WAFER) (W=212MM)/ ASM
1952 ASM 02‐184406‐01 Dual Pulsar Power Cabinet New Surplus
1953 ASM 02‐327347D03 ASSY‐INJECTOR FLANGE RP RH STEPPED
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 65 sales@semistarcorp.com
1954 ASM 02‐338116‐01 ASSY‐MMI‐SMIF FEI‐MITSUTECH
1955 ASM 04‐404382‐01 Retrofit Kit RFK‐V3 LPV HIG 700 LH New Surplus
1956 ASM 1012‐274‐01 KIT ‐ ADAPTER HLS VESSEL TO
1957 ASM 1012‐522‐01 Upper Lamp Array Assembly ASSY‐UPPER LAMP ARRAY New
1958 ASM 1018‐538‐01 Moisture Analyzer Connection New
1959 ASM 1048‐432‐01 Kit Advance Purchase Elec Add Universal New
1960 ASM 1049‐842‐01 Kit Retrofit SCE 3 BK 1200 MOD VSL New
1961 ASM 1051‐446‐01 / Kyocera 709216 Exhaust Duct CBS‐RC1 New
1962 ASM 1066‐481‐01 ASSEMBLY REACTION CHAMBER ITSB
1963 ASM 1071‐018‐02 ASSY‐PVM CTR PORT MET SEAL NO HTR ELEM
1964 ASM 16‐321312E01 Quartz Process Chamber 150mm Wafers.Epsilon 2000 Epi Reactor.
1965 ASM 16‐405066‐01 PLATE‐SHOWER N2 PURGE ALD6550
1966 ASM 180 TD+/LEAK DETECTOR/ALCATEL VACUUM PRODUCTS
1967 ASM 2841436‐01 Reactor Touchscreen
1968 ASM 73055‐72384 Insulator Plate CBS RC1
1969 ASM 77‐123776A48 SENSOR‐WAFER LVL30 New
1970 ASM 83‐125000A56 End Effector ATM RB (SUP by 16‐180503D01)
1971 ASM 93000‐07445 WAFER ALIGNER
1972 ASM A157636 ASM A600 UHV‐CP Wafer Handler
1973 ASM AD896‐06 DIE BONDER, 2006 VINTAGE
1974 ASM Advanced Semiconductor Materials 02‐146250‐01 Lower Loadlock Enclosure New
1975 ASM Advanced Semiconductor Materials 02‐146714‐01 Upper Loadlock Enclosure New
1976 ASM Advanced Semiconductor Materials 16‐141270‐01 Chamber Process ATM 300MM Used
1977 ASM Advanced Semiconductor Materials 16‐141270‐01 Process Chamber ATM 300MM Used
1978 ASM Advanced Semiconductor Materials 16‐141270‐01 Process Chamber ATM 300MM Used
1979 ASM Advanced Semiconductor Materials 2447053‐01 ASSY Evaporator RC1
1980 ASM Advanced Semiconductor Materials 96‐125485A29 Pedestal UNI HSE Platen New
1981 ASM Advanced Semiconductor Materials 96‐125485A29 Pedestal UNI HSE Platen New
1982 ASM Advanced Semiconductor Materials S33‐2178 Eagle 300mm Susceptor Pedestal New
1983 ASM AMERICA 02‐320586D01 Reflector‐Center‐Assy
1984 ASM America 2317311‐01 ASSY INLINE WATER COOLED TRAP
1985 ASM America 2385090‐01 ASSY INLINE WATER COOLED TRAP
1986 ASM ASSEMBLY AUTOMATION AD809 DIE BONDER_AS‐DESCRIBED‐AS‐AVAILABLE_UNIQUE HERE~
1987 ASM ASSEMBLY AUTOMATION AD809D‐00 DIE BONDER_AS‐PICTURED_HARD‐TO‐FIND_FCFS~
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 66 sales@semistarcorp.com
1988 ASM Assembly Automation BP300‐HD Automatic Ball Placement System Spare
1989 ASM COE 139 Curing System
1990 ASM CP20P C+P20 3091157S04 New Head + Type 23 GIGE Camera + Spares
1991 ASM D004651D SOLID SOURCE CONTAINER‐ HIG New
1992 ASM Eagle 60 Gold Wire Ball Bonder
1993 ASM EAGLE 60 WIRE BONDER (#3023)
1994 ASM Eagle‐12 Rapidfire Plasma CVD D‐N2 Gas Box/Module System
1995 ASM Epsilon 3200 E3200 EPI Reactor Chamber ***FOR PARTS***
1996 ASM Fully Automated Gold Wire Ball Bonder Eagle60‐XL 11‐2008 (5819)
1997 ASM Furnace/EPI? Load Lock Chambers A600/A400/A412? Qty. 4, 2003‐01‐L & ‐R
1998 ASM LPT08 LED Taping and Packaging System
1999 ASM MS100 Map Sorter
2000 ASM MS100 Map Sorter (2011)
2001 ASM MS896A 3" Wafer Die Sorter Semiconductor Automated DIE Sorting (5678) c
2002 ASM PN 2051524‐01 Heating Element, ASM DFS HT Furnace
2003 ASM PN: 2832739‐01 Assy Mech, Heat Element, A412 Furnace Heating Element
2004 ASM PN: 2832739‐01 Assy Mech, Heat Element, A412 Furnace Heating Element
2005 ASM PN: 54‐123388A01 Controller‐System‐Mecca Module, MN: 80.80.37.676 Chess
2006 ASM Polygon 8200 HF Vapor Clean Liquid Source Cabinet
2007 ASM SIPLACE 2018 TX2 CPP Multistar / Twin Head ‐ only 1.1M placements
2008 ASM SIPLACE Multistar CPP Head 3053528S07 + Type 30 27x27 GIGE Camera ‐ NEW
2009 ASM Siplace TX Docking Station COT40 ‐ Feeder Cart Loading 00116933‐05
2010 ASM SIPLACE X Feeder Package ‐ 50 Units ‐ DL8MM ‐ 44MM Compatible with SX TX X
2011 ASM Technology Eagle 60 Wire Bonder
2012 ASM TECHNOLOGY SINGAPORE SNAP CURE OVEN MODEL IB109_AS‐PICTURED_NICE DEAL~
2013 ASM XP4 TRANSFORMER‐34KVA 3PH PRI480V SEC380/120 INSTALL KIT
2014 ASME VERTICAL FURNACE LPCVD LINER A412 2538865 100‐00532
2015 ASME VERTICAL FURNACE PROCESS TUBE ATM 2805979
2016 ASME VERTICAL FURNACE PROCESS TUBE LPCVD 2538881
2017 ASML 02‐121642‐00 ESC 200MM MC TYPE
2018 ASML 02‐15783‐08 REV.F / ASM SERVO DRIVER 02‐15783‐08 REV. F APD20 AC DUAL / ASML
2019 ASML 2500 PSCOPE CAMERA 4022.428.05233 22052358
2020 ASML 300MM SCANNER WAFER TABLE CHUCK 4022.451.92783
2021 ASML 4022.428.1210, PAS 5000/2500 Main Switch Unit
2022 ASML 4022.435.4165.2
2023 ASML 4022.436.1445.1 Haake 337‐4003 TCU Controller Chiller 914902
2024 ASML 4022.436.1555
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 67 sales@semistarcorp.com
2025 ASML 4022.436.3351.3
2026 ASML 4022.454.5180.1 Haake 002‐7474 TCU Controller Chiller 195001751002
2027 ASML 4022.454.5180.1 Haake 002‐9087 TCU Controller Chiller 196014464/007
2028 ASML 4022.456.28975
2029 ASML 4022.472.1994 with boards and power supplies SVG
2030 ASML 4022.472.4037 with boards and power supplies SVG
2031 ASML 879‐0317‐005 / ASML DOSE MODULE FILTER SHUTTER WITH LENSES/ ASML
2032 ASML ASM LITHOGRAPHY PAS 5500 WAFER STEPPER SYSTEM SOFTWARE
2033 ASML GRIPPER ASSEMBLY FOR PAS 2500/5000 4022‐451‐04002 WITH 4022‐435‐0190
2034 ASML K VALVE F10 PNEUMATIC BLOCK FOR PAS 2500/5000 4022‐431‐24082 302‐A837
2035 ASML K VALVE F10 PNEUMATIC BLOCK FOR PAS 2500/5000 4022‐431‐24082 302‐A837
2036 ASML Mains Switching Unit (MSU)
2037 ASML PAS 2500 5000 STEPPER BAR CODE READER 4022.430.0023
2038 ASML PAS 2500 5000 STEPPER HINDS PHOTOELASTIC MODULATOR ELECTRONIC HEAD DPEM 200
2039 ASML PAS 2500 5000 STEPPER INDEXER 4022.502.25453 4022.502.25455 4022.502.25456
2040 ASML PAS 2500 5000 STEPPER MICROSCOPE P‐SCOPE CAMERA 4022.428.05233
2041 ASML PAS 2500 5000 STEPPER OCU OPERATOR CONTROL UNIT
2042 ASML PAS 2500 5000 STEPPER REMA BLADES 4022.428.0863 4022.502.26218
2043 ASML PAS 2500 5000 STEPPER RMS GRIPPER ASSY
2044 ASML PAS 2500 5000 STEPPER TESA BOX
2045 ASML PAS 2500 5000 STEPPER TESA BOX 2K0023
2046 ASML PAS 2500 5000 STEPPER ULTRA CLEAN AIR FOOT BELL 374
2047 ASML PAS 2500 PAS 5000 STEPPER P TABLE 4022.631.3070 150MM
2048 ASML PAS 2500 STEPPER BARCODE READER 4022.430.0053
2049 ASML PAS 2500/40 5000 4022.431.03902 4022.431.05631 ELEVATOR MARK II LIBRARY 6"
2050 ASML PAS 2500/40 WAFER STEPPER SYSTEM 150 MM/6”
2051 ASML Scanner FBA TIS DUV SWS Sensor
2052 ASML SERV.639.68471
2053 ASML STEPPER COMPUTER 4022‐537‐26841
2054 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 281613.933 KAY VALVE
2055 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.05423 KAY VALVE #1
2056 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.05033 KAY VALVE
2057 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.05036 KAY VALVE #11
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 68 sales@semistarcorp.com
2058 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.05412 KAY VALVE
2059 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.05422 KAY VALVE
2060 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.05702 KAY VALVE
2061 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.05703 KAY VALVE
2062 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.24302 KAY VALVE
2063 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.430.0593 KAY VALVE #6
2064 ASML STEPPER REMOTE MONITOR CART
2065 ASML TWINCSCAN SCANNER LENS ASSEMBLY 4022.656.21202
2066 ASML TWINSCAN SCANNER WAFER TABLE PIN CHUCK TABLE
2067 ASML Wafer Stage X‐Stator Assy (new)
2068 ASML Wafer Stage Y‐Stator Assy (new)
2069 Assembleon (Philips/Yamaha) Topaz XII
2070 Assembleon Philips Opal Xii 2007 8 head, pick and place M62455
2071 Assembleon Philips Opal Xii 8 head pick and place 2007 vintage, 50 feeders
2072 Assembleon Sapphire Philips Pick and Place PCB SMT Component Placement PC Board
2073 Assembleon Topaz XII Pick & Place Machine
2074 ASSY, RF DIST, REMOTE CLEAN, C3VCTR
2075 ASTEK AHT3‐LAI‐01 HT3 Packet Analyzer
2076 ASTeX ‐ FI20606 ‐ MICROWAVE MATCH, Smart Match
2077 astex / gerling HDP‐CVD downstream plasma source 3.5kw magnetron isolator tuner
2078 ASTEX A‐2500 MICROWAVE POWER GENERATOR,
2079 Astex ABX‐X490 Driver Board PCB Rev. B 
2080 Astex ARX‐X248, 13.5 Mhz Solid State Driver AMAT 0190‐18146 Used ****
2081 Astex ASTRONex FI80132‐R
2082 Astex AX2050 Microwave Power Generator, RF, FI20195, AMAT 0920‐01104, 321120
2083 Astex AX3060PSK‐1 Smart Switch
2084 Astex AX7650 Remote Plasma RF Generator
2085 Astex AX7650 Remote Plasma RF Generator, RPS, MKS, 397201
2086 ASTEX AX7651 / REMOTE PLASMA SOURCE GENERATOR / ASTEX
2087 ASTeX AX8200A Ozone Generator AX8200 AMAT Applied Materials Damaged Tested As‐Is
2088 ASTEX AX8200E / OZONE GENERATOR / ASTEX
2089 Astex AX8300QTI Ozone Generator with (2) AX8000 and monitor
2090 ASTEX AX8560‐3020 / GENERATOR,OZONE/ AX8560‐3020
2091 astex ECR plasma source for CVD deposition of diamond and DLC or other materials
2092 Astex FI20061, FI20104, FI20099, AMAT 0920‐01072, 3750‐01129, Microwave, 422422
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 69 sales@semistarcorp.com
2093 Astex FI20065/FI20106 SmartMatch Applicator, AX7610‐3, AMAT 3750‐01114, 422423
2094 ASTEX Ozone Generator AX8200A Used 0190‐09436 Rev B 281 Untested
2095 ASTEX PN‐004731063 ECR POWER SUPPLY EMS 27‐185‐8‐D‐10T‐0697A
2096 Astex/MKS 1.8 kW Microwave Downstream Plasma Source, Smart Match, Mag Head +More
2097 ASTEX/MKS PARAGON AX7700IPS‐01 (IPN: 0190‐39484)
2098 ASTRONex MKS Instruments FI80131 Plasma Source 1288 hours AMAT 0920‐00057 Tested
2099 ASTRONex MKS Instruments FI80131 Plasma Source 2788 hours AMAT 0920‐00057 Tested
2100 Asymtek 402 Automated Fluid Dispensing System
2101 Asymtek 402 Automated Fluid Dispensing System Software Manuals Included
2102 Asymtek 403 Glue / Epoxy / Adhesive Dispenser S/N: 3297
2103 Asymtek 403G with 18"x18" dispense area and UV curing tool
2104 Asymtek A‐612C Dispenser (C160302)
2105 Asymtek A‐612C Dispensing System
2106 Asymtek A‐618 C Dispenser
2107 Asymtek A‐618C Automatic Fluid Dispenser
2108 Asymtek Adhesive / Glue / Epoxy Dispenser ‐ 403G ‐ S/N: 2296 Inverted on Ganty
2109 ASYMTEK AUTOMATED DISPENSING SYSTEM C‐708
2110 Asymtek Automove 403 Dispensing System SN 003297
2111 Asymtek Axiom X‐1020 Dispenser
2112 Asymtek C‐718 Glue Dispenser
2113 Asymtek C‐740 Conformal Coating
2114 Asymtek C‐741 Conformal Coat
2115 ASYMTEK D‐553
2116 Asymtek Dispensing System
2117 ASYMTEK FLUID DISPENSING SYSTEM
2118 Asymtek Millenium High Speed Glue Dispenser
2119 Asymtek Millenium M‐2020 Ultra High‐Speed/High‐Accuracy Dispensing System
2120 ASYMTEK S‐820 Spectrum batch underfill / glue dispenser, heated table
2121 Asymtek S‐820B Spectrum PCB / Underfill dispenser ‐ DJ9000
2122 Asymtek Spectrum S‐820B dispenser, dual valve mount, with DJ9000 Head REDUCED
2123 Asymtek Spectrum S‐910N 2013 inline dispenser, dual valve mount, DJ9500
2124 Asymtek/Nordson Century C‐702 Automated Fluid Dispensing System
2125 ASYS LSB01 Destacker. Bare board loader. PCB SMT
2126 ASYS MPS 50
2127 ASYS TML Large board Transfer conveyor 24x48" 2012 #043341
2128 ASYS TML Large board Transfer conveyor 24x48" 2012 #043796
2129 ASYS VEGO AEM 02 90 degree turn station conveyor 2014 vintage
2130 Asyst 05050‐017 Wafer Pre‐Aligner Model 5 ASM Epsilon 3000 Prealigner Used
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 70 sales@semistarcorp.com
2131 Asyst 12919‐002 Robot Controller KLA Tencor *tested working, 90 day warranty*
2132 ASYST 15534‐001 Wafer Pre‐Aligner Model 5X
2133 Asyst 300FL S3 STD KT07 Load Port 9750‐0038‐01 *tested working, 90 day warranty*
2134 Asyst 300FL S3 STD KT07 Load Port 9750‐0038‐01 *tested working, 90 day warranty*
2135 Asyst 4002‐6633‐01 Spartan EFEM Wafer Mapper Shuttle FRID Rev. 002 
2136 Asyst FAB 200mm Wafer Handling Robot 04300
2137 Asyst Hine Design 04630‐003 Load Elevator Indexer GaSonics 94‐1175 
2138 Asyst Isoport I/O Load Port 300mm 12" Wafer Loader (9700‐9129‐01 Rev. J)
2139 Asyst IsoPort Wafer Loader 9700‐9129‐01 Rev. J without Mapping Option
2140 Asyst LPT Indexer 2200 9700‐3260‐01
2141 ASYST MECS UTXN1310 Robot with 14 day warranty
2142 Asyst Shinko VHT5‐1‐1 OHV Overhead Vehicle SSOHT‐300 300mm FOUP Wafer Transport
2143 ASYST SMIF‐300FL, S3, 25WFR 9750‐2000‐00 Wafer Load Port
2144 Asyst Technologies 05050‐017 Wafer Pre‐Aligner Model 5 Prealigner 
2145 Asyst Technologies 0FH3000‐001 Prealigner Hitachi I‐900SRT 
2146 Asyst Technologies 0FH3000‐001 Prealigner Hitachi I‐900SRT 
2147 Asyst Technologies 9700 300mm Load Port SMIF‐300FL 3200‐1065‐04 Working Spare
2148 Asyst Technologies 9700‐5158‐01 300mm Load Port SIMF‐300FL 
2149 ASYST TECHNOLOGIES 9700‐5158‐03 / LOAD PORT FA 300FL S2.1 25WFR / ASYST TECHNOLOGIES
2150 ASYST TECHNOLOGIES 9700‐6321‐01 / LPO 2200 ASCII SI VIPER 2401 / ASYST TECHNOLOGIES
2151 Asyst Technologies 9700‐8106‐01 SMIF‐300FL Load Port 300FL S2.1 HAMA ROX Used
2152 Asyst Technologies 9700‐8107‐01 300mm Wafer Load Port 300FLS2,HAMA ROX/E84 Used
2153 Asyst Technologies 9700‐9129‐01 300mm Wafer Load Port IsoPort New
2154 Asyst Technologies 9700‐9129‐01 300mm Wafer Load Port IsoPort Working Spare
2155 Asyst Technologies 970‐5158‐01 300mm Load Port SMIF‐300FL KLA CRS‐3000 Used
2156 Asyst Technologies ALU‐2150 9700‐2404‐11 Reticle Loader/Unloader w/Qty 4 Carrier
2157 Asyst Technologies Asyst Model 21 Wafer Robot Part Number: Asyst 14100‐004
2158 Asyst Technologies EMA 18‐010968 G 4900 Loader 
2159 ASYST TECHNOLOGIES ISO LOAD PORT 2 9700‐9129‐01 Rev.J 24VDC 5 amps KLA TENCOR
2160 Asyst X‐ARM Wafer Loader
2161 Atcor Model CRD 1210‐26T Wafer Carrier / Box Washer
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 71 sales@semistarcorp.com
2162 ATI MODEL 404CM 4 SPINDLE HIGH SPEED CNC ROUTER /CIRCUIT BOARD DRILL
2163 ATMI Ampule Bubbler, AMAT P5000, TEPO, CVD, Chamber, C7022A, 2D‐TEOS, 450839
2164 ATMI Ampule Bubbler, AMAT P5000, TEPO, CVD, Chamber, C7532, 2D‐PH05, 450840
2165 ATMI EcoSys Vector Ultra V2‐94AA0‐YN‐NNN Scrubber
2166 ATMI GAS CABINET ADCS AUTOMATIC PURGE CONTROLLER , BRC 22A INTEGRATED REFILL CON
2167 ATMI Packing ESCA Diffusion Furnace Kit 233405043 233405641 New Surplus
2168 ATMI SemiChem 4102 DSP Chemical Analyzer (SC4102, DSP+) PN: 4102‐110‐0000 Global
2169 ATMI Unichem 2900
2170 ATMI VECTOR 5000 SCRUBBER ECOSYS VECTOR ULTRA 5000 INTEL CVD‐215 SCRUBBER
2171 ATMI Vector Ultra 5001 Gas Scrubber, Used
2172 Atmoscan Complete Set
2173 Atmoscan Complete Set
2174 ATS NX‐20A‐GL Chiller AMAT 0190‐17815 ATSC 4084670‐001 APPLIED MATERIALS CHILLER
2175 ATS NX‐20A‐GL Chiller AMAT 0190‐17815 ATSC 4084670‐001 B
2176 AU6280N106E51 / DRIVER AC SERVO/ TAMAGAWA, TBL driver TA3487N101
2177 Aurion B‐MBT‐48 30kW T300 Matchbox Prodik for RF Sputtering Disposition Chamber
2178 Austin American AAT X40A Stencil Cleaner, closed loop
2179 Austin American Technology Mega II/ION Solvent Cleaner/Cleaning System AAT
2180 Autolink BVR2100S PCB Router (2011)
2181 Automated 7 Station Ultrasonic System 
2182 AUTOMATED PLASMA Circuit Board Plasma Etcher
2183 Automatic Cartridge Dispensing Robot w/ Adtech TV5300 Remote
2184 Automatic Pick and Place Machine QM2100
2185 Automatic SMT Pick and Place Machine with Vision Works to 0402, LED and BGA IC's
2186 Automatic SMT Pick and Place Machine with Vision Works to 0402, LED and BGA IC's
2187 AVAL DATA 4S015‐479 Processor PCB Card ACP‐104ANK (e3A) Nikon NSR‐S620D Used
2188 AVAL DATA ACP‐420 Driver PCB Card Nikon 4S025‐569 NSR‐S620D ArF 
2189 Avio TCW‐115A‐C TEC Lead Attach Welding System
2190 Avio TCW‐115A‐C TEC Lead Attach Welding System
2191
AVIZA / GENERAL EASTERN 705177‐001 / ANALYSER, 02 & MOISTURE, END‐HAUSER / AVIZA / 
GENERAL EASTERN
2192
AVIZA TECHNOLOGY / DELTA F CORP 705180‐001 / SENSOR, 02 0‐100 PPM (CSU 02) / AVIZA 
TECHNOLOGY / DELTA F CORP
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 72 sales@semistarcorp.com
2193 AVIZA TECHNOLOGY 105398‐01 / BASE,HEATER, (W/ WATER LOOP) / AVIZA TECHNOLOGY
2194 AVIZA TECHNOLOGY 105398‐02 / BASE, HEATER, (W/O WATER LOOP) / AVIZA TECHNOLOGY
2195 AVIZA TECHNOLOGY 620046‐09 / ELEMENT LOW TEMP / AVIZA TECHNOLOGY
2196 AVIZA‐WATKINS JOHNSON‐SVG THERMCO 602783‐09 SVG‐Thermco VTR ELEMENT, HEATER, AV
2197 Avtech AVOZ‐B3‐B Pulsed Laser Diode Driver
2198 Avtech AVOZ‐B3‐B‐P Laser Diode Driver Pulsed Voltage
2199 AXCELIS  468451/POWER SUPPLY HV 300MM ES/AXCELIS TECHNOLOGIES
2200 AXCELIS  470961 / ASSY PLUG, ES3 EATON FUSION / AXCELIS TECHNOLOGIES
2201 AXCELIS  624811R 677421TAB /ASSY, FIL (FILAMENT), ES3 EATON FUSION / AXCELIS TECHNOLOGIES
2202 AXCELIS  GRANVILLE‐PHILLPS 360 STABLE ION GAUGE CONTROLLER SET (AXCELIS 1914400)
2203 Axcelis / Eaton Nova 3206 Ion Implanter / Axcelis 6200 / Removed Operational
2204 Axcelis 0342‐0667‐3001 Rotary Shutter Assy for NV 3206 and NV 6200
2205 AXCELIS 11004390 / FLAT & NOTCH SENSOR ASSY / AXCELIS
2206 AXCELIS 1180900 / BELT DRIVE ‐ INA 14344 UPD TO 1193490 SPDL/CHG SENSOR 11S3291/ AXCELIS
2207 AXCELIS 1180900R /BELT DRIVE ‐ INA 14344 UPD TO 1193490 SPDL/CHG SENSO / AXCELIS
2208 Axcelis 1222865 CHUCK ASSY STD DARTBOARD WR12E‐112A / Cast Aluminum Solutions
2209 AXCELIS 1223189 / SPECTROMETER, FIBER OPTIC. AVANTES 2048‐2‐USB2‐AXC2 / AXCELIS
2210 AXCELIS 2000438 / PCB TRANSCEIVER XCVR ETHNET ST‐CONN / AXCELIS
2211 AXCELIS 20007030 / TEMP CONTROLLER AIH‐124QS‐T5 / KOMATSU
2212 AXCELIS 246983 / ASSEMBLY HOT PLATE / AXCELIS
2213 AXCELIS 474631 / ASHER / AXCELIS
2214 AXCELIS 589121 / ASSY, TEMP CONTROL, ES3 EATON FUSION / AXCELIS TECHNOLOGIES
2215 Axcelis 6200 Accelerator Tube
2216 AXCELIS 6inch refurbishd disk
2217 AXCELIS ASSY, WAVEGUIDE ES3 EATON FUSION, MACHINE # RDS320042 / AXCELIS
2218 AXCELIS AX‐086771 / KIT‐REFLECTOR, M150 / AXCELIS TECHNOLOGIES
2219 AXCELIS B 282876 / SOURCE RING ORANGE MACHINE 282876 01 01/ AXCELIS BURNING RING OF FIRE
2220 AXCELIS CRD320010CVTY / ASSY, PLASMA TUBE, ES3 EATON FUSION / AXCELIS TECHNOLOGIES
2221 AXCELIS CRDS320010PM / ASHER STRIPPER CHAMBER/ WITH CONTROLLER RACK / AXCELIS
2222 Axcelis Disk G8‐536
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 73 sales@semistarcorp.com
2223 Axcelis Eaton 8250 Ion Implanter Main Body Interface Module, 150MM
2224 Axcelis Feralflulidick disk Seal 1710590
2225 Axcelis Gemini 2 Chamber 200 mm MICROWAVE Plasma Asher, 202 MCU CHAMBERS, PARTS
2226 Axcelis Gemini Fusion 268661 / 549391 High Voltage Module REFURBISHED Assembly
2227 Axcelis GSD Source controller DI 1520560 PCB
2228 Axcelis GSD Thermocouple Cryo interlock DI 1522920 PCB
2229 Axcelis GSD Universal dose controller DI 5990‐0418‐0002 PCB
2230 Axcelis Microwave Waveguide Assembly ASTeX GL219 624811 Fusion ES3 
2231 Axcelis Microwave Waveguide Assembly GAE GA3107 10661 Fusion ES3 
2232 Axcelis Microwave Waveguide Power Suppy GAE GA3107 H3862 Fusion E53 
2233 Axcelis post electrode assy complete for Nv10‐160
2234 AXCELIS TECH  RDS223044 .2 METER MONOCHROMATOR/ENDPOINTDETECTOR
2235
AXCELIS TECHNOLOGIES 0342‐1433‐0001 / SOURCE GAS CONT ASSY MOD DTC / AXCELIS 
TECHNOLOGIES
2236 AXCELIS TECHNOLOGIES 0952‐0241‐0001C / CLAMP ASSEMBLY 8 IN ESC KAPTON PCB
2237
AXCELIS TECHNOLOGIES 11009620 / GATE VALVE,V2,(VAT 08038‐FA24‐AAJ1(REV.G) / AXCELIS 
TECHNOLOGIES
2238 AXCELIS TECHNOLOGIES 11028840 / ASSY DOSE CONTROLLER UDCII / AXCELIS TECHNOLOGIES
2239 AXCELIS TECHNOLOGIES 1187170 / SOURCE ASSY INTEGRAL ARC SLIT (MO) / AXCELIS TECHNOLOGIES
2240 AXCELIS TECHNOLOGIES 1500830 / PCB ASSY CUR/VOLT CONV / AXCELIS TECHNOLOGIES
2241 AXCELIS TECHNOLOGIES 1503060 / CONTROLLER REMOTE PCB EATON / AXCELIS TECHNOLOGIES
2242 AXCELIS TECHNOLOGIES 1503230 / PCB ANTILOG A/D (1403230) / AXCELIS TECHNOLOGIES
2243 AXCELIS TECHNOLOGIES 1507440 / PCB ASSY AMU RMT / AXCELIS TECHNOLOGIES
2244 AXCELIS TECHNOLOGIES 1518150 / PCB ASSY AT4 DATA ACQUISITION / AXCELIS TECHNOLOGIES
2245 AXCELIS TECHNOLOGIES 1519350 / PCB ASSY I/O VAC CONT / AXCELIS TECHNOLOGIES
2246 AXCELIS TECHNOLOGIES 1520560 / PCB ASSY SOURCE CONTROL II / AXCELIS TECHNOLOGIES
2247 AXCELIS TECHNOLOGIES 1522600 / PCB ASSY CREONICS SAM EX YSCAN / AXCELIS TECHNOLOGIES
2248 AXCELIS TECHNOLOGIES 17263590 / SOURCE LINER, W/ WATER COOLING / AXCELIS TECHNOLOGIES
2249 Axcelis Technologies 670381 CHAMBER STRIP BATCH
2250 Axcelis Technologies 687361 Rev. F LID CHAMBER SML
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 74 sales@semistarcorp.com
2251
AXCELIS TECHNOLOGIES 70‐7013924 / ES3 COMPUTER ASSY, ASHER EATON FUSION / AXCELIS 
TECHNOLOGIES
2252 Axcelis Technologies 719241 CHUCK ASSY W/ PINS & FENCE HOLES
2253 Axcelis Technologies 719621 Rev. D LID INTEGRA ES CHAMBER
2254 Axcelis Technologies, 1222953, KIT MAG 8 END EFFECTOR WITH AEGIS CONTACT PADS
2255 AXCELIS XGT310‐30‐1A‐X446 / INTERGRA VALVE LOAD LOCK SMC / AXCELIS
2256 AXIC Benchmark IsoLok ICP Plasma System w AE Dressler Cesar RF Power Generators
2257 AXIC HF‐8 PLASMA SYSTEM
2258 AXI‐IP6‐2120 / CONTROLLER JVX 6200 / JVC
2259 AXIOTRON 8X8 / CSM VIS‐UV 115‐230VAC 50/60HZ / CARL ZEISS
2260 Azimuth ACE 400‐WB Channel Emulator w/ 14 day warranty.
2261 Azores 5200/6700 Stepper Lens assembly *used/working*  Great deal!
2262 AZURE‐200,1175144 / CLASS‐4 LASER COHERENT VERDI‐3W LASER POWER SUPPLY / AMAT
2263 B & G ENTERPRISES 01‐100‐01 USED MULTI CONTROLLER 0110001
2264 Bachur & Associates Model: LS‐150‐5C2 NUV Exposure System
2265 Baker 6047100 SS Glove Box (480V)
2266 Bakma 38" Chamber PECVD for DLC Thin Film Deposition Diamond Like Coating 5KW
2267 Balston 75‐78 Nitrogen Generation System4
2268 Balzers 760 Box Coater. Looking for a swift sale, please make offer, Motivated
2269 Balzers BAI 730 PVD Coating machines
2270 Balzers BAK 450 PVD Sputtering System
2271 Balzers Bell Jar System. Looking for a swift sale, please make offer, Motivated
2272 Balzers Sputter System. Looking for a swift sale, please make offer, Motivated
2273 Balzers Unaxis LLS 502 Load Block Metal Film PVD Sputtering System 
2274 Baron Blakeslee DSS‐3.2 Duo Solvent Cleaner degreaser kyzen solstice
2275 Baron Blakeslee MLR‐120LE‐DS/ATH‐35 SPL Bi‐solvent Vapor Degreaser4
2276 BATCHTOP 8 PE/RIE MF/SYSTEM VII, BATCHTOP, SINGLE WAFER ETC STATION/PLASMA‐TH
2277 Batemen Bantam 4748‐B Ironworker Machine Steel Fabrication with tooling
2278 Baublys 20W Control Laser 9415501204
2279 BC Technology BC‐HU‐09‐12 Laminar Flow Horizontal Airflow Hood
2280 BC Technology BC‐HU‐09‐12 Laminar Flow Horizontal Airflow Hood
2281 Beckman Coulter Z2 Cell and Particle Counter with PC Option
2282 BECO 0010‐13713 HV MODULE, PLASMA SPRAYED CESC, DPS 200M
2283 Bede BedeMetrix Diffractometer Goniometer 300mm Sample Stage Assembly As‐Is
2284 Bede QC2A Diffractometer Parts/Repair
2285 Bede Scientific QC‐2A X‐Ray Diffractometer
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 75 sales@semistarcorp.com
2286 Bekaert Magnetron Rotary Feedthrough Drive Assembly
2287 Bekaert Magnetron Rotary Feedthrough Drives NEW UNITS!!
2288 BENCHMARK #GEN II ATMOSPHERIC SEAM WELDER/ SEALER ‐ SM‐8000
2289 Benchmark Gen II Generation 2 Atmospheric Enclosure Seam Sealer & Welder
2290 BENCHMARK GENII ATMOSPHERIC SEAM WELDER/ SEALER
2291 Benchmark Phase 3 Linear Weld Head System Hermetic Sealer
2292 BERKELEY PROCESS CONTROL INC TS‐4000‐V.V2 / TS‐4000‐V KIT,SERVICE MONITOR,EVC
2293 Berkeley Process Controls MWT‐8‐MNET Machineworks Machine controller
2294 Beta Squared Lithography Inc. Micralign Projection Mask Aligner
2295 BETTCHER PROCESS SERVICES A60RD
2296 BETTCHER PROCESS SERVICES A72RS WET BENCH
2297 Bi‐Level Tilt Conveyor, Bi‐Level Transport System Conveyor, Multi‐Level Conveyor
2298 Bio‐Rad Microscope
2299 BIRD ELECTRONIC CORP 8890‐300SC13 / TERMALINE COAXIAL RESISTOR WATTS 2500 OHME
2300 BKL Engineering BKL.012.00004 ASML Service Crane, Hoisting Tool, FEI, 424225
2301 BLUE M 1100 DEGREE INERT GAS OVEN / FURNACE MDL 20" X 20" X 24" ID IGF‐7780F‐3
2302 Blue M 166 B/O Large Batch Oven
2303 Blue M 8850D‐1 Box Furnace
2304 BLUE M AC‐7702TDB‐1 AIR‐COOLED HUMIDITY CHAMBER
2305 Blue M CC‐04‐I‐P‐C Mechanical Convection Clean Room Oven9
2306 Blue M CC‐05‐S‐T‐G‐HP Mechanical Convection Oven7
2307 BLUE M CW‐6680‐F‐MP350 ULTRA‐TEMP HIGH TEMPERATURE OVEN3
2308 Blue M DCA‐206C Inert Gas Oven
2309 Blue M DCC 1406 G Large Clean Room Oven5
2310 BLUE M DCC 256 Clean Room Oven
2311 Blue M DCC‐206C Clean Room Oven Cleanroom
2312 Blue M DCC‐256‐E‐MP750 Stainless Steel Clean Room Oven6
2313 Blue M DCC‐256‐E‐MP750 Stainless Steel Clean Room Oven8
2314 BLUE M DCC‐256‐G‐F4 Cleanroom oven1
2315 Blue M DCI‐206‐E‐MP550 Temperature Chamber ()
2316 BLUE M ELECCTRIC OVEN DCW‐146‐C‐MP550 SN; DC9148
2317 BLUE M HIGH TEMP OVEN, HR‐441FX, 3DEG C ABOVE TO +150DEG C
2318 BLUE M LINDBERG STF 55666C SINGLE‐TUBE FURNACE
2319 Blue‐M DC‐1406G 24 cu. ft. Mechanical Convection Oven 343°C 48"W x 24"D x 36"H
2320 Blue‐M DC‐246‐F‐ST350 Industrial Batch Oven 24 Cubic Foot (316°C/600°F) DC‐246F
2321 Blue‐M DCC‐336‐B‐MP550 Inert Gas Clean Room Oven
2322 Blue‐M STK‐05W‐G‐MP550/STK05 2x 5.7 cu. ft. Stacked Oven (DC‐256 Drying Ovens)
2323 boc edwards  dual boc edwards 10kw supersource single crucible UHV electron beam guns MBE
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 76 sales@semistarcorp.com
2324 boc edwards  dual boc edwards 10kw supersource single crucible UHV electron beam guns MBE
2325 BOC EDWARDS A726‐01‐906 / DRY VACUUM PUMP XDS10 / BOC EDWARDS
2326 BOC Edwards ChemFill 1210 Chemical Delivery Module FSI CFCF12‐A0156 Booster
2327 BOC Edwards ChemFill 1533SS Chemical Delivery System
2328 BOC Edwards Dry Vacuum Pump iH1000 Refurbished
2329 BOC Edwards Dry Vacuum Pump iH1800 USED
2330 BOC Edwards Dry Vacuum Pump iL600N AS IS‐ WIP1610
2331 BOC Edwards Dry Vacuum Pump iL70N Tested, Working Good.
2332 BOC Edwards Dry Vacuum Pump iQDP80 AS IS
2333 BOC Edwards Dry Vacuum Pump iQDP80 Rebuilt
2334 BOC Edwards Dry Vacuum Pump iQDP80 Rebuilt
2335 BOC Edwards Dry Vacuum Pump iQDP80 Rebuilt
2336 BOC Edwards Dry Vacuum Pump iQDP80 Rebuilt
2337 BOC Edwards Dry Vacuum Pump iQDP80 with QMB250 Rebuilt
2338 BOC Edwards Dry Vacuum Pump iQDP80 with QMB250 Rebuilt
2339 BOC Edwards Dry Vacuum Pump iQDP80 with QMB250 Rebuilt ‐ WIP 1935
2340 BOC Edwards Dry Vacuum Pump QDP40 Rebuilt
2341 BOC Edwards Dry Vacuum Pump QDP80 Rebuilt
2342 BOC Edwards Dry Vacuum Pump QDP80 with QMB250 Rebuilt
2343 BOC Edwards Dry Vacuum Pump QDP80 with QMB250 Rebuilt
2344 BOC Edwards Dry Vacuum Pump QDP80 with QMB250 Rebuilt
2345 BOC Edwards Dry Vacuum Pump QDP80 with QMB500 Rebuilt
2346 BOC Edwards GVSP30 (GVSP‐30) Vacuum Scroll Pump A710‐04‐909 Single Phase
2347 BOC Edwards Helios 2 Gas Abatement Scrubber
2348 BOC Edwards IBC Dispense Module 0190‐01599; BRAND NEW!!!
2349 BOC edwards IPX 500 dry vacuum pump 10^‐7 torr uhv ‐ mks hps varian lesker mdc
2350 BOC Edwards Process Wet Scrubber Model W‐75 Exhaust Gas Abatement Gradient
2351 BOC Edwards SCU‐1500 Turbomolecular Pump Control Unit PT59Z0Z00
2352 BOC Edwards SCU‐XH2603C STP Control Unit Turbomolecular Pump Control Unit
2353 BOC Edwards STP Control Unit SCU‐1303CV3 Turbomolecular Control Unit
2354 BOC Edwards STP‐XH2603C Turbomolecular Pump Control Unit
2355 BOC Edwards TCU 40/80 w/ LON Chiller Heat Exchanger W95100008 AMAT
2356 Boc Edwards TCU 40/80 W/ RS485 W9500000 Chiller Heat Exchanger
2357 boc edwards temescal simba 2 electron beam gun power supply ‐10kv 1.5a thin film
2358 BOC EDWARDS TEMPEST NRB851000
2359 BOC EDWARDS TPU‐S WRU‐S
2360 BOC Edwards W‐75 Wet Scrubber for Exhaust Gas Abatement
2361 BOC EDWARDS XDS 35
2362 BOC EDWARDS XDS10
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 77 sales@semistarcorp.com
2363 BOC EDWARDS XDS10
2364 BOC EDWARDS XDS5 DRY SCROLL VACUUM PUMP 200/380/415/460V SET TO HIGH VOLTS
2365 BOC Edwards, QMB250 Blower Booster, AS ‐ IS, Lot of 4 units
2366 BOC Temescal Simba 2 Electron Beam Power supplies with Extras
2367 Bohlin Instruments Gemini 200 Rheological Characterization System
2368 Bokar Reflow Oven X‐Reflow‐306/S
2369 Bold Tech. 940‐2201 Water Recirculating System
2370 BPS 3ft BPS FA36SST Stainless Steel Wet Bench
2371 BPS 3ft BPS FA36SST Stainless Steel Wet Bench
2372 BRADY MGL Label and Sign Maker 3, Rated: 100‐240V~, 50/60Hz
2373 BRANSON / IPC 4000 REACTOR CENTER PLASMA CONTROLLER 4150/2, #1120239JFJ USED
2374 BRANSON 2005 PLASMA ETCHER/ASHER
2375 Branson 201‐TPX 6.5" x 6.5" Tooling Platen Thermal Processor. Tested!
2376 Branson B452R Ultrasonic Vapor Degreaser Cleaning System1
2377 Branson BTC‐200 Ultrasonic Vapor Degreaser with Neslab Merlin M75 Chiller
2378 Branson IPC L3200 Plasma Asher / Photoresist Stripper For 8" Wafer Cassette 6197
2379 Branson IPC Plasma Etching Asher System PM11220 Reactor Center 2000C Controller
2380 BRANSON IPC REACTOR CENTER PM‐11020 DIONEX PM921C PM119 500 WATT CONTROLLER
2381 Branson IPC S2000 Plasma Asher System Complete w/ Vacuum Pump ++
2382 BRANSON L3200 PHOTORESIST STRIPPER PLASMA ASHER
2383 BRANSON L3200SS CASSETTE TO CASSETTE ASHER
2384 Branson Ultrasonic Solvent degreaser
2385 Branson/IPC 4055 Plasma Surface Treatment system
2386 Breuckmann Aicon Smartscan 3D‐HE Blue 4Mpix
2387 Brewer Science 10 Intelligent Hot Plate Spinner Photoresist Coat Spinner USA
2388 Brewer Science CEE 100 Hotplate/Spinner
2389 Brook Hermos Transponder Reader Kit FL 4,5 (ASCII) 013087‐195‐25 Including Cable
2390 BROOKHAVEN INSTRUMENTS LOCKHEED SANDERS1000 D‐V / BEAM CURRENT INTEGRATOR
2391 Brooks 001‐7600‐02 VacuTran 5 VTR5 Transfer Robot Drive
2392 BROOKS 001‐7600‐07 VacuTran 5 MTR5 Transfer Robot Drive , USED ITEM
2393 Brooks 002‐7200‐323 Load Port, 200mm *, 90 Day Warranty*
2394 Brooks 110884 Arm, ATR7, Rear Tube Map, Omron Amp, C3VCTR, Novellus, 321211
2395 BROOKS 300mm LOAD PORT Vision Model Number: 162770‐32/G Untested!!! *SEM6
2396 Brooks 6‐0002‐0408‐SP Prealigner PRE‐301BU‐CE‐S2 KLA‐Tencor 0029191‐000 Used
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 78 sales@semistarcorp.com
2397 Brooks 9704‐0955 Robot, 001‐8672‐01 Controller, Vacuum Transfer Module, 452559
2398 Brooks 9704‐0955 Robot, w/ 001‐8672‐01 Controller, Wafer Transfer, Cable, 452558
2399
BROOKS AUTOMATION  6‐0002‐0943‐SP / ESC‐204T‐S293 ROBOT CONTROLLER / BROOKS 
AUTOMATION PRI EQUIPE
2400 Brooks Automation 001‐1070‐02 Rev. D12 Z‐Bot Driver Board Controller
2401 Brooks Automation 001‐1951‐05 ‐ Assy PCB VT5 Main ‐ Lam 810‐550099‐001 Rev. E1
2402 BROOKS AUTOMATION 001‐6300‐03 / WAFER TRANSFER ROBOT/ BROOKS AUTOMATION
2403
BROOKS AUTOMATION 001‐7600‐02 / BROOKS AUTOMATION MTR5 VACUTRAN 5 TRANSFER ROBOT 
DRIVE / BROOKS
2404 Brooks Automation 001‐7600‐07 MTR5 Transfer Robot Driver
2405 Brooks Automation 001‐7600‐07 Transfer
2406 BROOKS Automation 001‐7600‐10 VacuTran 5 MTR5 Transfer Robot Drive
2407 BROOKS Automation 001‐7600‐10 VacuTran 5 MTR5 Transfer Robot Drive
2408
BROOKS AUTOMATION 002‐3752‐01 / PCB / BROOKS AUTOMATION INC BROOKS BROOKS 
AUTOMATION 002‐3752‐01 
2409
BROOKS AUTOMATION 002‐4485‐02 / PCB BROOKS AUTOMATION 002‐4484‐03 BOARD CPU I386 / 
BROOKS AUTOMATION INC
2410
BROOKS AUTOMATION 002‐7090‐04 / WAFER TRANSFER HANDLING ROBOT / BROOKS 
AUTOMATION II
2411 Brooks Automation 002‐7090‐08 Atmospheric Wafer Robot ATR‐7 AcuTran 7 Working
2412 Brooks Automation 002‐7090‐10 AquaTran 7 Robot, Novellus, 424262
2413 Brooks Automation 002‐7090‐10, AquaTran 7 Wafer Transfer Robot, ATRY. 416853
2414 Brooks Automation 002‐7090‐10, AquaTran 7 Wafer Transfer Robot, ATRY. 417017
2415 Brooks Automation 002‐7200‐21 200mm Wafer Load Port FEI CLM‐3D 
2416 BROOKS AUTOMATION 002‐7388‐01 / PCB ASSY / ZMISC
2417 Brooks Automation 002‐7391‐08 200mm Wafer Prealigner FEI CLM‐3D 
2418 Brooks Automation 002‐7391‐33 Wafer Prealigner CHE 
2419 BROOKS AUTOMATION 002‐7502‐02 / PCB WITH ACRYLIC RAIL / BROOKS AUTOMATION INC
2420 Brooks Automation 002‐7800‐05 SMIF Express 200mm Wafer Load Port FEI CLM‐3D Used
2421 Brooks Automation 002‐8500‐41 Robot Transfer Module Chamber W/ Cassette Module
2422 Brooks Automation 002‐9400‐04 Robot Controller Series 8 FEI CLM‐3D 
2423 Brooks Automation 003‐1600‐28
2424 Brooks Automation 003‐9010‐03 Vacuum Cassette Elevator/robot
2425 Brooks Automation 003‐9010‐03 Vacuum Cassette Elevator/robot
2426
BROOKS AUTOMATION 003‐9200‐64 / FRONT END LOADER ASSY, AXCELIS SUMMIT 200 RTP/BROOKS 
AUTOMATION
2427 Brooks Automation 013077‐079‐20 300mm Load Port FIXLOAD 25 
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 79 sales@semistarcorp.com
2428
BROOKS AUTOMATION 013096‐092‐08 / LOADER,FOUP,6M,TSB SPECIAL REV E / BROOKS 
AUTOMATION
2429 BROOKS AUTOMATION 013501‐027 / PCB, PARALLEL INTERFACE / BROOKS AUTOMATION INC
2430
BROOKS AUTOMATION 013501‐027‐25 / ERGOSPEED PARALLEL INTERFACE / BROOKS AUTOMATION 
INC
2431 Brooks Automation 017‐0353‐01 Reliance Robot w/Series 8 Controller+Pre‐Aligner
2432 Brooks Automation 1‐0125 Wafer Handling Robot
2433 Brooks Automation 1‐0125 Wafer Handling Robot KLA‐Tencor eS20XP 
2434 Brooks Automation 104426 Wafer Handling Robot
2435 Brooks Automation 10600‐10 200mm Wafer Prealigner Chamber 
2436 Brooks Automation 10600‐10 Prealigner 200mm Chamber 
2437 Brooks Automation 121668 Wafer Transfer Robot ATM AS IS
2438
BROOKS AUTOMATION 133673 / CU,DRIVE/ARM,ATM ROBOT,ATR8 RELIANCE,IMR / BROOKS 
AUTOMATION II
2439 BROOKS AUTOMATION 133673R / ROBOT, ATR8 DRIVE ARM MKS / BROOKS AUTOMATION INC
2440 Brooks Automation 138149 Atmospheric Wafer Handling/Transfer Robot Robotic Arm
2441
BROOKS AUTOMATION 138499/WAFER ROBOT CU,DRIVE/ARM,ATM ROBOT, ATR8 
RELIANCE/BROOKS AUTOMATION INC
2442 Brooks Automation 138502 Wafer Handling Robot Reliance ATR8 
2443 Brooks Automation 151865 Wafer Handling Robot Reliance ATR8 Refurbished
2444 Brooks Automation 162770‐01 300mm Wafer Load Port VISION Working Spare
2445 Brooks Automation 191922 Fusion Controller Hardware W/OS 187496 187275
2446 Brooks Automation 194600‐FRU End Effector 450 mm Vacuum Wafer Transfer NEW (5700
2447 Brooks Automation 450 Vision 176334‐04 Load Port Module w/ FOUP 450mm Wafer 5702
2448 Brooks Automation 450 Vision 176334‐04 Load Port Module w/ FOUP 450mm Wafer 5703
2449 BROOKS AUTOMATION 70014847 / KOLLMORGEN AMP Y (SE10200) / BROOKS AUTOMATION INC
2450 BROOKS AUTOMATION 70031329 / I/O SIDE 1: 1 LH WHITE OAK / BROOKS AUTOMATION
2451 BROOKS AUTOMATION 70031330/ I /O SIDE 1 : 1 RH WHITE OAK / BROOKS AUTOMATION INC
2452 BROOKS AUTOMATION 70056582 / GRIPPER ACTIVE CAPTURE,E99N / BROOKS AUTOMATION
2453 Brooks Automation AquaTran 7/AcuTran 7, TT1ENR2‐1‐TVS‐ES‐BROOKS8 Pendant, 424260
2454 BROOKS AUTOMATION ATR7, 002‐7090‐10 WAFER TRANSFER ROBOT KIT
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 80 sales@semistarcorp.com
2455 BROOKS AUTOMATION BM30889 / ASSY ELEC PANEL TT ETHER / BROOKS AUTOMATION II
2456 BROOKS AUTOMATION BM30891 / QUEUE AEROTRAK ENET REV F/ BROOKS AUTOMATION INC
2457 BROOKS AUTOMATION BM31215 / ASSY NODE PACK HXFR ENET / BROOKS AUTOMATION
2458 BROOKS AUTOMATION BM31804 / NODE ‐ FIRE, 24 VDC POWER SUPPLY / BROOKS AUTOMATION
2459 Brooks Automation FIXLOAD 25 300mm Silicon Wafer Load Port 
2460 BROOKS AUTOMATION INC 001‐0000‐27 / Z‐BOT WAFER INDEXER 
2461
BROOKS AUTOMATION INC 001‐1570‐97 / ARMS BROOKS ROBOT FROG LEGS/ BROOKS 
AUTOMATION INC
2462
BROOKS AUTOMATION INC 152465 / BA ROBOT SIN T1‐1630+1612 T2 ‐1536+1543 COS T1 / BROOKS 
AUTOMATION INC
2463
BROOKS AUTOMATION INC 154523 / ROBOT RELIANCE DRIVE ARM ‐ 8‐04 NOVELLUS27‐35305‐00 
BROOKS AUTOMATION
2464 BROOKS AUTOMATION M2 MARATHON SAFETY NODE P/N ROBOT CONTROLLER 150166
2465 Brooks Automation Main Turret Robot MTR‐5? MultiTran PN: 001‐1500‐61
2466 BROOKS AUTOMATION ORBITRAN MATRIX PLASMA ASHER WAFER MFG ARM ROBOT ‐ LOT OF 3
2467 Brooks Automation PRE‐300 300 mm PRE‐3022 Silicon Wafer Prealigner
2468 Brooks Automation Reliance ATR Wafer Robot (Part Number: 017‐0266‐01)
2469 Brooks Automation Reliance Wafer Robot (Part Number: 002‐5177‐01)
2470 Brooks Automation Series 8 Controller (Part Number: 105946)
2471 Brooks Automation Series 8 Reliance ATR8/MagnaTran7 PN: 108549 Robot Controller
2472 Brooks Automation SLR 200 M Series Robot
2473 Brooks Automation TEC‐300 Controller SMC‐S PRI FEI CLM‐3D 
2474 Brooks Automation VCE‐4 Vacuum Cassette Elevator Z‐Drive Unit 001‐9010‐03 *MINT*
2475 Brooks Automation Vision 162770‐24 Wafer Load Port
2476 Brooks Automation Wafer Transfer Robot 143518
2477 Brooks Automation Zbot 1
2478 Brooks Automation, 001‐2300‐45, Buffer Robot Transfer Cluster Chamber, 416292
2479 Brooks Fixload 25 Load Port *, 90 day warranty*
2480 Brooks Fixload 25 Load Port *Used Workng, 90 Day Warranty*
2481 Brooks Irvine Optical RUDY Board PCB 015‐0920
2482 Brooks MAG 7 108000‐37 Wafer Robot / Brooks Automation MagnaTran 7 108000‐37
2483 BROOKS MAG 7 LEAPFROG ROBOT ARMSET
2484 BROOKS P15836 / LINEAR TRACK SYSTEM, 300MM 20.5 6‐0002‐0196‐SP LTRA 030‐SB‐RUD /BROOKS
2485 Brooks Polycold 2XCL Closed Loop Gas Chiller Cryogenic Refrigeration
2486 Brooks PRI 002‐5870‐06 Robot Electronic Module Controller, Novellus, 421594
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 81 sales@semistarcorp.com
2487 BROOKS PRI AUTOMATION PB33011LO1 ROBOT SYSTEM CONTROLLER SMI 13986
2488 Brooks PRI Equipe Robot ATM405‐1‐S
2489 BROOKS RAZOR 5 AXIS WAFER TRANSFER ROBOT with AEF end effector and controller
2490 Brooks‐CTI Cryogenics 9600 Compressor3
2491 Brooks‐CTI Cryogenics 9600 Cryo Compressor1
2492 Brooks‐PRI Automation 152465 1000 RELIANCE WAFER HANDLING ROBOT
2493 Bruce Technologies / BTU / BTI / BDF 41 Diffusion Furnace /Install available
2494 Bruce Technologies / BTU / BTI / BDF 41 Diffusion Furnace 150mm wafer processing
2495 Bruce Technology / BTI BDF 41 Furnace with Automation Elevator Loaders
2496 Bruker AXS Nonius X8 Proteum Pt 135 X‐Ray Diffractometer System
2497 Bruker AXS X8 PROTEUM PT135 X‐Ray Diffractometer Assembly P/N 843‐017100
2498 Bruker Contour GT‐K Profilometer
2499 Bruker Contour GTX Profilometer / Mint ‐ Demo Condition.. Warranty
2500 Bruker Nano Icon ScanAsyst Atomic Force Microscope 840‐002‐372 481‐016‐100
2501 Bruker Nano Inc. Electron Beam Optics ASSY 23‐169096‐000
2502 Bruker Nano Inc. Motor Gearbox ASSY 60‐023168‐000
2503 Bruker Oxford Spectrospin BLAXH100/50P 200‐400Mhz RF Unit
2504 Bruker Oxford Spectrospin DL620V002SX‐88 unit
2505 Bruker Oxford Spectrospin HPPR Preamplifier w/ Z002510 Z002665 Z002511 modules
2506 Bruker Oxford Spectrospin SCB13R 16 Bit BSMS ECL03 with HRDAC ‐ 703 module
2507 Bruker Veeco Wafer Inspection Chuck 17 3/4in 450mm Wafer Processing NEW (5318)
2508 BSI Wafer
2509 BTU / RTC Solar Furnace PV‐609 S/N: RTC2506090603 BTU HCPA‐4ir
2510 BTU 125N Furnace. Just removed from use. Looking for swift sale, pls make offer
2511 BTU 2002 BTU MODEL VIP98N PC CONTROLLED 7 ZONE BELT FURNACE / REFLOW OVEN
2512 BTU 2006 BTU PYRAMAX 98N REFLOW OVEN
2513 BTU 448 KVA BTU VIP98 Reflow Oven 7 zone 300C (572F) 29 sqft chain surface 22" wide
2514 BTU 600 Gas Saturator for H2 / Hydrogen
2515 BTU Diffuzor 60"l x44 Dia Retort Diffision Funace 3 zone Tube ☆Great Shape☆
2516 BTU International PYRAMAX 150N Z12 Nitrogen Reflow Oven 18" Belt Furnace
2517 BTU International Pyramax 75A Reflow Oven
2518 BTU INTERNATIONAL TFF51‐4‐36N26GT FAST FIRE 1050C NITROGEN OR AIR BELT FURNACE
2519 BTU PARAGON P98 Reflow Belt Furnace
2520 BTU Pyramax 150A Reflow Oven ‐ 10 Zones ‐ Edge Rail Only ‐ 480V
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 82 sales@semistarcorp.com
2521 BTU Pyramax 98A Lead Free Reflow Oven (180201)
2522 BTU Pyramax 98A SMT Reflow Oven, Excellent Condition, Edge Rail and Mesh
2523 BTU VIP98N Convection Reflow Furnace
2524 BUDZAR ICE CHILLER MODEL AC‐05‐FCB‐24‐00‐003 460v
2525 BUDZAR ICE CHILLER MODEL AC‐05‐FCB‐24‐00‐003 460v With Thermal Care RA Series
2526 BUDZAR ICE CHILLER MODEL AC‐05‐FCB‐24‐00‐003 460v With Thermal Care RA Series
2527 BUDZAR ICE PORTABLE PROCEESS CHILLER MODEL WC‐15‐FCB‐36‐4‐001 460v
2528 Budzar Industries ICE Portable Process Chiller
2529 Buehler Ecomet 250 Polisher Grinder
2530 Buehler Ecomet 3 Variable Speed Grinder Polisher9
2531 Buehler Ecomet 3‐Station Variable Speed Polisher Model 48‐8183
2532 Buehler Ecomet 4 Variable Speed Grinder Polisher with Automet 2 Power Head
2533 Buehler Ecomet 6 Semiautomatic Polisher Grinder with Automet 2 Power Head
2534 BUEHLER ECOMET‐4 VARIABLE SPEED POLISHER 49‐1775‐160
2535 BUEHLER SimpliMet 2000 Auto Mounting Press & STRUERS TegraPol‐35 Grinder Polish
2536 Burleigh Exfo WA7100 Multi Wavelength Meter 1270 to 1680 nm6
2537 BX80‐070955‐14 / LM ARM CONTROLLER 2 / SINFONIA TECH CO LTD
2538 BYK Gardner 4725 Haze‐Gard Plus9
2539 Caltex Systems Microscope Model: AMS‐845xyz
2540 Calypso Hammerhead 2004 Calypso Hammerhead 2, 5' x 10' Table Size, 50 HP, 60k PSI, CNC Waterjet
2541 Cam/Alot Dispenser Head 642
2542 CAMALOT 3700 IN‐LINE DISPENSING SYSTEM SET
2543 Camalot 635SD Pump Head Valve Cam/alot 635 Speedline FXD Xyflex Pro SMT PCB
2544 Camalot 642 Pump Head Valve Auger Camelot Speedline Dispenser Underfill PCB SMT
2545 Camalot 642 Pump Head Valve Auger Camelot Speedline Dispenser Underfill PCB SMT
2546 Camalot 642 Pump Head Valve Auger Camelot Speedline Dispenser Underfill PCB SMT
2547 Camalot 680 Pump Head Valve Camelot Speedline Dispenser PCB Xyflexpro + FXD
2548 Camalot Cartridge and Tube Assembly Feed FXD 8000 SMT PCB 680SD
2549 CAMALOT DISPENSER FEEDERS SET OF ONE 1130 AND ONE 1230 WITH LOADER AND UNLOADER
2550 Camalot Dispenser Pump. Heated Line DU Pump # 620‐6
2551 Camalot FXD 8000‐1 2010 Inline glue / solder paste dispenser 635SD, lift table
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 83 sales@semistarcorp.com
2552 Camalot FXD Adhesive/Glue Dispenser SMT/PCB Assembly ‐ Speedline ‐ used
2553 Camalot FXD Dispenser Underfill Glue Adhesive Solder PCB FX‐D Dot Line 8000
2554 CAMALOT PRO PLUS Z ASSEMBLY,HI CAP‐II P/N 1018578
2555 Camalot PZ Assembly PNZ 47070 Dispenser Xyflexpro 50947 Xyflexpro+ Dispenser
2556 Camalot Smart Stream Dispense Jet System Head Pump Xyflexpro + FXD SmartSteam
2557 Camalot Speedline 3800 Inline Dispenser, touch probe, vision, 635 valve #30020
2558 CAMALOT VORTEXX 3950 IN‐LINE DISPENSING SYSTEM SET
2559 Camalot Xyflexpro+ 7200 Underfill Glue Dispenser Speedline Xyflex Pro SMT PCB
2560 Camalot Xyflexpro+ 7200 Underfill Glue Dispenser Speedline Xyflex Pro SMT PCB
2561 Camalot Z Head Lead Screw Coupling for FXD 8000 SMT PCB 680SD Leadscew Z‐Head
2562 CAMALOT Z‐AXIS ASSY ACTUATOR FOR PRODIGY P/N 1019499
2563 CAMALOT Z‐AXIS ASSY,HI‐CAP, DIRECT DRIVE HD‐Z AXIS REFURBISHED P/N 47071R
2564 CAMBRIDGE INSTRUMENTS S100 SCANNING ELECTRON MICROSCOPE S/N 1141_FOR PARTS_$$$!_
2565 CAMBRIDGE INSTRUMENTS S100 SCANNING ELECTRON MICROSCOPE S/N 1141_FOR PARTS_$$$!_
2566 Cambridge Nanotech Model fiji F200
2567 Cammax Precema PPS60 Pulse Heat Controller
2568 Cammax Precima PPS60 Pulse Heat System Controller (2) Heated Workholders
2569 Cammax Precima PPS60 Pulse Heat System Controller (2) Heated Workholders
2570 Camtek Falcon PD 200mm Wafer Inspection System with Basler A201b Camera ‐ As Is
2571 CANNON DCH37P101,019617‐0021 itt cannon
2572 CANON 6191‐0724 /ASSY PULSE MEASUREMENT BOARD/ CANON
2573 CANON 9103400374 / FAST PULSE CONVERTER /CANON
2574 Canon Anelva 1015i Sputtering System ‐ 6" ‐Plus Huge Quantity of Spares
2575 CANON BD4‐3766 / CANON I3 1ST INPUT LENS WHOLE ASSEMBLY / CANON
2576 CANON BD4‐7497 / CHUCK REMOVAL/CLEANING TOOL I 4 ‐ I 5 / CANON
2577 CANON BG4‐2035 / IPIF‐III/ CANON USA INC
2578 CANON BG4‐2102 / TTL‐AF CPU / CANON USA INC
2579 CANON BG4‐3835 / CPU20 II / CANON USA INC
2580 CANON BG4‐4078 / AF CPU / CANON USA INC
2581 CANON BG4‐5975‐R00 / I1/I3 EXPOSURE LAMP POWER S. SB‐15202AP / CANON
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 84 sales@semistarcorp.com
2582 CANON BG4‐6463 / STAGE CD PCB, BH8‐1752‐02, BG8‐2667 CONTROLLER ASSY / CANON
2583 CANON BG4‐6728 / INTERMEDIATE LIBRARY UNIT 1, RS PCB / CANON
2584
CANON BG4‐6732 / RETICLE CHANGE ELEVATOR W/PCB CANON BG4‐8193 (BH8‐1767‐01)(BGB‐2674) 
/CANON
2585 CANON BG4‐6746 /RETICLE CHANGER CONTROL BOX, WF/RC IF PCB, P2 BACK PLANE PCB/ CANON
2586 CANON BG4‐6943 / ALS CD PCB ASSY / CANON
2587 CANON BG5‐0855‐000 / ROBOT, ASSY, SCH THETA, 300MM / CANON
2588 Canon BG9‐1827‐000, IMF CPU Board, PCB, BG9‐1827, BG8‐2073, BH8‐0210‐01. 418403
2589 Canon BG9‐1831‐000, Board XY Stage I/F X, BG9‐1831, PCB, BH8‐0214‐01. 418454
2590 Canon BG9‐1831‐000, Board XY Stage I/F X, BG9‐1831, PCB, BH8‐0214‐01. 418455
2591 Canon BG9‐1842‐000, Board IME I/F, PCB, BG9‐1842, BH8‐0222‐01. 418404
2592 Canon BG9‐1842‐000, Board IME I/F, PCB, BG9‐1842, BH8‐0222‐01. 418405
2593 CANON BG94‐2160 / RC IF PCB / CANON USA INC
2594 CANON BG94‐3500 / ADP‐11 / CANON USA INC
2595 CANON BG94‐3502 /ACC‐1/ CANON
2596 CANON BG94‐3715 /TILT WZ I/F PCB/ CANON USA INC
2597 CANON BG94‐3718 / XY STAGE IF PCB / CANON
2598 CANON BG94‐3719 / IMF‐IF PCB / CANON
2599 CANON BG94‐3727 / X‐Y CPU PCB / CANON
2600 CANON BG94‐3730 / RC CPU PCB / CANON
2601 CANON BH8‐1300‐01 / CCD OPTF CAP/ CANON
2602 Canon EX3 / EX4 Stepper Beam Delivery System EX Used
2603 CANON EX‐5 STAGE / CANON STEPPER STAGE GETSPARES ROCKS WHEN NICOLE STOCKS
2604 CANON FPA‐1550MIV S / CANON STEPPER RETICLE LOADER FPA‐2000I1 / CANON
2605 CANON FPA‐2000 i1 WAFER STEPPER, 150 MM, CRATED
2606 CANON FPA6000 ES6A / FRONT RELAY UNIT STEPPER / CANON
2607 CANON FPA‐6000ES6A / RETICLE LIBRARY WITH RETICLE ROBOT HANDLER / CANON
2608 CANON I3 / MASKING BLADE UNIT / CANON
2609 CANON PG‐RR‐B0D1 / ATM WAFER TRANSFER ROBOT / CANON
2610 Canon PLA‐501 FA Mask Aligner w/ LOTS OF EXTRAS POWERS ON UNABLE TO TEST READ
2611 Canon PLA‐521FA Parallel Light Mask Aligner
2612 CANON STEPPER LENS AND HOUSING ASSEMBLY
2613 Canon Stepper Lens Part Number KB‐02 BG4‐8886‐R00
2614 CANON Y60‐0941‐000 / WAFER SEND UNIT (TYPE 4, LEFTHAND FEED) Y60‐0941‐R00 / CANON
2615 Carbolite CR/220 Clean Room Oven4
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 85 sales@semistarcorp.com
2616 CARESTREAM Bruker In‐Vivo MS FX PRO X‐Ray Imaging System IS4000MM PRO
2617 Carl Zeiss Microscope
2618 Cascade / Alessi REL 3200 Probe Station, 6"
2619 Cascade Ai Alessi Remote Series 5000 Probe Station
2620 CASCADE ALESSI REL‐6100
2621 Cascade Alessi REL‐6100 Manual Wafer Prober / Optem Microscope / New Wave Laser
2622 Cascade Microtech 11000 High Precision Manual Wafer Prober8
2623 Cascade Microtech Auto Prober Parametric Series PS21 with Full 4 Month Warranty
2624 Cascade Microtech Form Factor MPS150 6" inch RF Prober **New** 1 YEAR Warranty
2625 Cascade Microtech probe card holder
2626 Cascade Microtech REL 4800 Manual 8 inch Prober MH DC Probes Mitutoyo MicroScope
2627 Cascade Microtech REL 6100 Manual 8 inch Prober MH DC & RF Probes Mitutoyo Scope
2628 Cascade Microtech REL‐4800 Manual Probe Station Heated Summit 12K Compatible
2629 Cascade Microtech REL‐6100 Manual Submicron Analytical Wafer Prober5
2630 Cascade Microtech RF‐1 Microwave prober
2631 Cascade Microtech RHM‐06 Probe Station with Olympus SZ60 Microscope
2632 Cascade Microtech Summit 11000 High Precision 200mm Manual Wafer Prober
2633 Cascade Microtech Summit 12000 REL 4800 6100 Dark Box Enclosure EMI Air Isolated
2634 Cascade Microtech Summit 12000 REL 4800 6100 Dark Box Enclosure University Disnt
2635 Cascade Microtech Summit 9000 Manual Analytical prober
2636 Cascade Microtech Summit 9000 Manual Analytical prober
2637 Cascade Microtech Wafer Chuck Prober Microscope Station Positioning Stage Base
2638 Cascade PS21
2639 Cascade PS21
2640 Castrol Tribol™ GR 215‐2 ‐ Low Friction, Anti‐wear, Clean room Grade Grease, 14
2641 CC09C‐P‐F‐HP / OVEN TEMP RANGE 250C TO 482F BOM# 455815‐1 / BLUE M
2642 CDE Resistivity Resprobe Model 178
2643 CDP‐050R0‐8F / CDP‐1/2/PUMP DIAPHRAGM PUMP / FURON
2644 CE10MHSK8YR entegris gatekeeper hydride gas purifier matheson nanochem NH3 ASH3
2645 CE440 /KLA COMPUTER, EV300/ KLA
2646 CEDARBERG Heavy Duty Rotary Phase Converter 8100‐006
2647 CEDARBERG Heavy Duty Rotary Phase Converter 8100‐007
2648 CEDARBERG Heavy Duty Rotary Phase Converter 8100‐008
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 86 sales@semistarcorp.com
2649 CEDB‐0011 / INDEXER BOARD ID ‐ A/B/C 2‐VC‐15252 / DNS DAI NIPPON SCREEN
2650 CEE Hot Plate
2651 CEE OPAL 78312559000 / CEE SYSTEM POWER SUPPLY / OPAL
2652 CEHC‐0011 / HEATER CONTROLLER BAKE UNIT DNS60A MAX 8 HP / DNS DAI NIPPON SCREEN
2653 CELERITY 115909 / MAGNIFLOW MOTOR, PUMP, CENTRIFUGAL BSM‐4.2‐30 / CELERITY
2654 CELERITY 115909 / MAGNIFLOW MOTOR, PUMP, CENTRIFUGAL BSM‐4.2‐30 / CELERITY
2655 CELERITY 387‐00006‐000 / PROBE, CONCEN, SOLIDS, ANALOG, 0.05‐50 / CELERITY
2656 Cencorp 1000 VR PCB Depanelizer Circuit High Volume Board In‐Line Router Robot
2657 Cencorp TR2100 PC Board Router SMT PCB Depaneler Top Spindle Saw PMJ TR1000 CNC
2658 Cencorp TR2100 Router
2659 Cencorp. 540 Series Printed Circuit Board PCB Profiler
2660 Centorr Vacuum Industries Furnace
2661 Centrotherm Clean Solutions Abatement System Model CT‐BW OH300K4, LAM 9400
2662 Ceramic Puck for Surfscan 64X0 End Effector Surf 6420 6400 339830
2663 CERAMIC TECH INC  620T0065‐03 / PADDLE ASM ENDEF RLR EDGEG WD / CERAMIC TECH INC 
2664 CERB‐0016 2‐VC‐152 / TRANSPORT BOARD PCB 80A SLOT 2 AP‐1032C W/ EXCHANGE / DNS
2665 Cesar 1310 / CESAR 1310 RF GENERATOR / DRESSLER
2666 CHA 1000 Ebeam System
2667 CHA 600 Vacuum System
2668 CHA INDUSTRIES MARK 40
2669 CHA Industries SEC‐1000 Dual Thermal Evaporator
2670 CHA Industries SR‐10 Vacuum Deposition Chamber Power Supply
2671 CHA MARK 40
2672 CHA Mark 40 6 pocket Ebeam & Dual Thermal Evaporator / SR10 / CTI 10 /Excellent
2673 CHA SE‐600 High Vacuum E‐Beam Evaporation System
2674 CHA SE‐600‐RAP Single Pocket E‐Beam Evaporator
2675 CHA SEC‐600‐RAP E‐Beam Evaporator SR‐10 Power Supply Leybold WAU251/D60A Vacuum
2676 Chamber Wide Body PVD, Shell, 0040‐20195, Endura, IMP, 424163
2677 Chemwest 420503 Automated Slurry Filter Cabinet System for CMP Filtration
2678 Chemwest Systems Liquid Particle Bench with PMS Liquid Particle Sampler 420547
2679 Chiller Air‐cooled scroll high efficiency 74 Ton
2680 Chroma 58173 Wafer LED Chip Level Tester Prober Software, Probes, ESD PXI 52962
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 87 sales@semistarcorp.com
2681 Chroma, LED Chip Level Tester, Model‐58173, Manufactured Year 2014
2682 CI SYSTEMS, NTM 500‐D, 27‐258087‐00
2683 CIC photonics IRGAS epitorr FTIR detect impurities in semi gas down <10 ppb amat
2684 Cincinnati 2001 Cincinnati CL707 Laser 
2685 Cincinnati Milacron Air cooled chiller MCA‐10A‐RC w/Remote Heat Exchanger,10 Ton 
2686 Citizen Automatic Pick and Place Machine A480 feeders, nozzles, parts
2687 CKD PMC32 Robot Assemby w/Arm, CKD UPS‐1K
2688 CKD TEL VEC‐VF8‐X0001 2112‐000119‐11 / CKD SYSTEM VALVE CONT AND TUBE VACUUM / CKD TEL
2689 CKD VEC‐VH9‐X0329 Vacuum Pressure Proportional Control System
2690 CKD VP1000 Solder Paste Inspection PCB SMT Corporation PC Board Height Nice!
2691 Class 100 ISO 5 Modular Clean room 576 to 40K Sq feet ULPA MW Zander & Grid HEPA
2692 Class 1000 ISO 6 Modular Clean room 576 to 40K Sq feet ULPA MW Zander Grid HEPA
2693 Class A spectra physics newport solar simulator 91195A w/ 69920 68945 controller
2694 Clean Green Antifreeze / Chemical Evaporator
2695 Clean Room for sale Modular CleanRoom Class 100 to 100,000 / ISO 5 to ISO 8
2696 Clean Room Modular CleanRoom Class 10 to 100,000 / ISO4 to ISO9
2697 CLEANPART SET ANODIZED TYPE III WDO PLA 715‐032012‐116‐0002
2698 CLEANPART SET ANODIZED TYPE III WDO PLA 715‐032012‐116‐0005
2699 Cleanroom for sale clean room class 10 to100,000 / ISO 4 to ISO 9
2700 Cleanroom for sale clean room class 10 to100,000 / ISO4 to ISO9
2701 Cleanroom for sale hard wall clean room class 100 ‐100,000 / ISO 5 ‐ ISO 8
2702 Cleanroom for sale modular clean room class 100 ‐100,000 / ISO 5 ‐ ISO 8
2703 CMS PS‐700 3~6 Micron Silicon Wafer Laser Marking System ‐ Powers ON ‐ As Is
2704 CMT Automation Bare Board Destacker BS‐M‐HC
2705 Coherent  10w raydiance ultrafast femtosecond fiber laser CPA system coherent onefive
2706 COHERENT 1080980 /LASER POWER SUPPLY WITH LASER HEAD SET/ COHERENT LASERS
2707 Coherent 2010 Coherent Omnibeam 500 Fabrication, Lasers Ref # 8038953
2708 COHERENT LASER K2K
2709 Coherent VITESSE Laser Diode 5W POWER SUPPLY Rudolph Metapulse MP MPII MPIII
2710 Coherent VITESSE Laser Diode Set 5W Rudolph Metapulse MP MPII MPIII
2711 COHU 2122‐10000000 / COHU SOLID STATE CAMERA WITH EXCHANGE
2712 Cohu Delta Design Turbo‐Flex FLEX‐1010 2x8 Pick & Place IC Chip Test Handler
2713 Cole Parmer 77111‐77 Masterflex Digital Drive Modular Washdown 11‐321 rpm 230
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 88 sales@semistarcorp.com
2714 Collins Aerospace Macrolink Python Workstation P 700MHz Processor 256MB
2715 Columbia 0310 Shears Fabrication Ref # 8040039
2716 Comdel  CX‐2500 Comdel FP3305R1 RF Generator 2.5kW @ 3.39MHz Used Tested Working
2717 Comdel  CX‐2500 Comdel FP3305R1 RF Generator 3.39MHz New Surplus
2718 Comdel  CX‐2500S Comdel FP3323R1 RF Generator 13.56Mhz Tested 
2719 Comdel CB5000 Novellus 27‐335415‐00 5000W High Frequency RF Power Supply Unit 3U
2720 Comdel CLF‐5000/400, RF Generator. CLF‐5000 Low Frequency 
2721 Comdel CLX2500 RF Generator FF1336R3 0190‐09845, 1250 Watts *Used Tested Working
2722 Comdel CLX‐2500 RF Generator, AMAT 0190‐13837, 350 KHz‐LF, 480V, 3 Phase, 
2723 Comdel CLX‐2500 RF Generator, AMAT 0190‐13837, 350 KHz‐LF, 480V, 3 Phase, 
2724 COMDEL CPS1001 / COMDEL CPS 1001 / COMDEL
2725 Comdel CX1250AS Air Cooled RF Generator FP3217R2 CX1250S/A RF Power Supply 13.56
2726 Comdel CX‐5000S RF Generator CPS‐5000 Power Supply 13.56 MHZ (Tested Working)
2727 Comdel CX‐5000S/CX‐5000DC RF Generator/Power Supply 5kW, AMAT PN: 0190‐18052
2728 Comdel FP7526RB Rf Generator CB3500, 27.12, DNET, 480V
2729 Comdel Inc. Model CPS‐500AXM / 13.56 RF Power Source
2730 Commonwealth Scientific Ion Beam Miller Etcher, substrate mount tooling
2731 COMMONWEALTH SCIENTIFIC LOAD LOCKED ION MILLLING SYSTEM
2732 CompactPCI 6600‐CPCI07TNI4 Backplane Board PCB PICMG2.0 R3.0 NSR‐S620D Spare
2733 Complete Semitool 860 F/L Spin Rinse Dryer Rinser Unit w/ A110‐10‐0215 Rotor
2734 Complete Semitool 860 F/L Spin Rinse Dryer Rinser Unit w/ A182‐39MLC‐0215 Rotor
2735 Computer and Software Retrofit for PlasmaQuest RIE System Astex MKS
2736 CONCEPTRONIC HVC 102 Conveyor belt oven solder soldering circuit board assembly
2737 CONCEPTRONIC HVN 102 Conveyor belt OVEN solder soldering circuit board assembly
2738 Cone Plate Viscometer with Temperature Bath and Rheocalct computer software
2739 Contact Angle Measurement CON‐01
2740 Contact System CS‐400E Component Locator
2741 Contact Systems CS‐400E Component Locator Cut and Clinch PCB SMT CS‐201 Bins
2742 Contact Systems CS400E Ultra Clinch Component Locator CS201 Rotary Bin Carousel
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 89 sales@semistarcorp.com
2743 CONTACT SYSTEMS CS‐740B D JIT Bin Component Storage Cabinet PCB Assembly Machine
2744 Contact Systems/Opti‐Print 1616PD Benchtop Automatic Screen/Stencil Printer SMT
2745 Contact Systems/Versatech 2016 CS‐400E Cut and Clinch Component Locator
2746 Control Micro 20W Fiber Laser 06036
2747 Convectron 25KW Convectron RD3 Chain Tunnel Oven 80 amp 208 3 phase LCD interface
2748 Conveyor Tech FMU‐72I Magazine Handler
2749 Conveyor Technologies 2010 Conveyor Technologies CTI FM2Q‐72L Magazine Loader/Unloader
2750 Conveyor Technologies 2017 CTI Systems Conveyor Technologies Slide Gate Conveyor MSG‐63I‐E‐LT
2751 Conveyor Technologies 90 degree turn unit / rotation conveyor programmable inpu
2752 Conveyor Technologies Accumulator PB‐1M‐9
2753 Conveyor Technologies CTI FM2Q‐72L Magazine unloader front of line 5 magazine
2754 Conveyor Technologies MDS‐.6M‐UL Bare Board Gravity Destacker (181001)
2755 Conveyor Technologies MDS‐.6M‐UL Bare Board Gravity Destacker (181001)
2756 Conveyor Technologies Shuttle Conveyor SHC‐601‐REF 2011 Vintage
2757 Cookson Speedline Technologies MPM Ultraprint 1500 Screen Printer
2758 Coorstek Norton Silicon Carbide Coated Process Tube, 8" x 84", 50 28 ball socket
2759 Coorstek Silicon Carbide Vertical Furnace Boat TEL KOKUSAI ASM, 200mm 220 Slot
2760 CORONA/8/E / PCI FRAME GRABBER PCB OUT OF (750‐693047‐002, IPC CE400) / MATROX
2761 Cosel SGYD7002‐2 Power Supply PCB Card Nikon 4S001‐142 NSR‐S620D 
2762 CPI 73154711 Cathode High Voltage Tank, 731547, 423786
2763 CPI CPW2870A8 ‐ TYPE G19‐0017500 ‐ 460/480V ‐ 60A 50/60Hz 3PH Power Supply
2764 CPI CPW2870B10 ‐ TYPE 27‐126103‐00 REV 1 ‐ 460/480V 58A 50/60Hz 3PH Power Supply
2765 CPI CPW2870B10 ‐ TYPE 27‐126103‐00 REV 1 ‐ 460/480V 58A 50/60Hz 3PH Power Supply
2766 CPI CPW2870B10 Power Supply, 27‐251231‐00, 460/480V, 58A, 50/60Hz, 3PH, 421032
2767 CPI VPW2870V6 ‐ TYPE TFS 04‐730636‐01 REV B ‐ 460/480V 40A 50/60Hz Power Supply
2768 CPI VPW2870W6‐HC‐M ‐ TYPE TFS G190021900 460/480V 40A 50/60Hz 3PH Power Supply
2769 CPI VPW2870W6‐HC‐S ‐ TYPE G190021900 ‐ 460/480V ‐ 20A 50/60Hz 3PH Power Supply
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 90 sales@semistarcorp.com

More Related Content

Similar to Semiconductor Equipment and Spare Parts 3

JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
xodp407063
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
gzf394385
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
cwys09581326
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
pinren747
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
aff5082583
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
tunlang9328
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
ponuosha8412
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
pengdi0699527652
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
ping17334
 
Product list permanent magnet and magnetic assembly-Super Magnet Co ltd
Product list  permanent magnet and magnetic assembly-Super Magnet Co ltdProduct list  permanent magnet and magnetic assembly-Super Magnet Co ltd
Product list permanent magnet and magnetic assembly-Super Magnet Co ltd
SybilChen1
 
Elexo catalog 19_smartphone
Elexo catalog 19_smartphoneElexo catalog 19_smartphone
Elexo catalog 19_smartphone
Luigi Bruno
 
Elexo catalog 19_smartphone
Elexo catalog 19_smartphoneElexo catalog 19_smartphone
Elexo catalog 19_smartphone
Luigi Bruno
 
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdfASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
shun96145luo
 
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdfASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
fjdkksmemd
 
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdfASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
f7useijdkdmd1
 
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdfASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
djdksmmdmd
 
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdfASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
f7siejdkdmd
 
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdfASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
dxem8295569
 
Asv posi track pt-80 track loader parts catalogue manual
Asv posi track pt-80 track loader parts catalogue manualAsv posi track pt-80 track loader parts catalogue manual
Asv posi track pt-80 track loader parts catalogue manual
didkkkdmdmd
 
Asv posi track pt-80 track loader parts catalogue manual
Asv posi track pt-80 track loader parts catalogue manualAsv posi track pt-80 track loader parts catalogue manual
Asv posi track pt-80 track loader parts catalogue manual
fujskekfmsme
 

Similar to Semiconductor Equipment and Spare Parts 3 (20)

JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
 
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number  02427501-024278...
JCB 300T TRACKED Robot Parts Catalogue Manual (Serial Number 02427501-024278...
 
Product list permanent magnet and magnetic assembly-Super Magnet Co ltd
Product list  permanent magnet and magnetic assembly-Super Magnet Co ltdProduct list  permanent magnet and magnetic assembly-Super Magnet Co ltd
Product list permanent magnet and magnetic assembly-Super Magnet Co ltd
 
Elexo catalog 19_smartphone
Elexo catalog 19_smartphoneElexo catalog 19_smartphone
Elexo catalog 19_smartphone
 
Elexo catalog 19_smartphone
Elexo catalog 19_smartphoneElexo catalog 19_smartphone
Elexo catalog 19_smartphone
 
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdfASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
 
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdfASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
 
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdfASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
 
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdfASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
 
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdfASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
 
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdfASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
ASV Posi-Track PT-80 Track Loader Parts Catalogue Manual.pdf
 
Asv posi track pt-80 track loader parts catalogue manual
Asv posi track pt-80 track loader parts catalogue manualAsv posi track pt-80 track loader parts catalogue manual
Asv posi track pt-80 track loader parts catalogue manual
 
Asv posi track pt-80 track loader parts catalogue manual
Asv posi track pt-80 track loader parts catalogue manualAsv posi track pt-80 track loader parts catalogue manual
Asv posi track pt-80 track loader parts catalogue manual
 

More from Emily Tan

SemiStar Corp Brochure All for website.pdf
SemiStar Corp Brochure All for website.pdfSemiStar Corp Brochure All for website.pdf
SemiStar Corp Brochure All for website.pdf
Emily Tan
 
Heatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdfHeatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdf
Emily Tan
 
20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corp
Emily Tan
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
Emily Tan
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
Emily Tan
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
Emily Tan
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
Emily Tan
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
Emily Tan
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
Emily Tan
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
Emily Tan
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
Emily Tan
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
Emily Tan
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
Emily Tan
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
Emily Tan
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
Emily Tan
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
Emily Tan
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Emily Tan
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Emily Tan
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
Emily Tan
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
Emily Tan
 

More from Emily Tan (20)

SemiStar Corp Brochure All for website.pdf
SemiStar Corp Brochure All for website.pdfSemiStar Corp Brochure All for website.pdf
SemiStar Corp Brochure All for website.pdf
 
Heatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdfHeatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdf
 
20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corp
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 

Recently uploaded

Recommendation System using RAG Architecture
Recommendation System using RAG ArchitectureRecommendation System using RAG Architecture
Recommendation System using RAG Architecture
fredae14
 
AWS Cloud Cost Optimization Presentation.pptx
AWS Cloud Cost Optimization Presentation.pptxAWS Cloud Cost Optimization Presentation.pptx
AWS Cloud Cost Optimization Presentation.pptx
HarisZaheer8
 
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAUHCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
panagenda
 
Letter and Document Automation for Bonterra Impact Management (fka Social Sol...
Letter and Document Automation for Bonterra Impact Management (fka Social Sol...Letter and Document Automation for Bonterra Impact Management (fka Social Sol...
Letter and Document Automation for Bonterra Impact Management (fka Social Sol...
Jeffrey Haguewood
 
TrustArc Webinar - 2024 Global Privacy Survey
TrustArc Webinar - 2024 Global Privacy SurveyTrustArc Webinar - 2024 Global Privacy Survey
TrustArc Webinar - 2024 Global Privacy Survey
TrustArc
 
GraphRAG for Life Science to increase LLM accuracy
GraphRAG for Life Science to increase LLM accuracyGraphRAG for Life Science to increase LLM accuracy
GraphRAG for Life Science to increase LLM accuracy
Tomaz Bratanic
 
Artificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopmentArtificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopment
Octavian Nadolu
 
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...
Tatiana Kojar
 
leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...
leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...
leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...
alexjohnson7307
 
Trusted Execution Environment for Decentralized Process Mining
Trusted Execution Environment for Decentralized Process MiningTrusted Execution Environment for Decentralized Process Mining
Trusted Execution Environment for Decentralized Process Mining
LucaBarbaro3
 
HCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAUHCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAU
panagenda
 
System Design Case Study: Building a Scalable E-Commerce Platform - Hiike
System Design Case Study: Building a Scalable E-Commerce Platform - HiikeSystem Design Case Study: Building a Scalable E-Commerce Platform - Hiike
System Design Case Study: Building a Scalable E-Commerce Platform - Hiike
Hiike
 
Finale of the Year: Apply for Next One!
Finale of the Year: Apply for Next One!Finale of the Year: Apply for Next One!
Finale of the Year: Apply for Next One!
GDSC PJATK
 
Azure API Management to expose backend services securely
Azure API Management to expose backend services securelyAzure API Management to expose backend services securely
Azure API Management to expose backend services securely
Dinusha Kumarasiri
 
GenAI Pilot Implementation in the organizations
GenAI Pilot Implementation in the organizationsGenAI Pilot Implementation in the organizations
GenAI Pilot Implementation in the organizations
kumardaparthi1024
 
Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...
Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...
Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...
Jeffrey Haguewood
 
How to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdf
How to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdfHow to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdf
How to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdf
Chart Kalyan
 
WeTestAthens: Postman's AI & Automation Techniques
WeTestAthens: Postman's AI & Automation TechniquesWeTestAthens: Postman's AI & Automation Techniques
WeTestAthens: Postman's AI & Automation Techniques
Postman
 
Generating privacy-protected synthetic data using Secludy and Milvus
Generating privacy-protected synthetic data using Secludy and MilvusGenerating privacy-protected synthetic data using Secludy and Milvus
Generating privacy-protected synthetic data using Secludy and Milvus
Zilliz
 
Operating System Used by Users in day-to-day life.pptx
Operating System Used by Users in day-to-day life.pptxOperating System Used by Users in day-to-day life.pptx
Operating System Used by Users in day-to-day life.pptx
Pravash Chandra Das
 

Recently uploaded (20)

Recommendation System using RAG Architecture
Recommendation System using RAG ArchitectureRecommendation System using RAG Architecture
Recommendation System using RAG Architecture
 
AWS Cloud Cost Optimization Presentation.pptx
AWS Cloud Cost Optimization Presentation.pptxAWS Cloud Cost Optimization Presentation.pptx
AWS Cloud Cost Optimization Presentation.pptx
 
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAUHCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
 
Letter and Document Automation for Bonterra Impact Management (fka Social Sol...
Letter and Document Automation for Bonterra Impact Management (fka Social Sol...Letter and Document Automation for Bonterra Impact Management (fka Social Sol...
Letter and Document Automation for Bonterra Impact Management (fka Social Sol...
 
TrustArc Webinar - 2024 Global Privacy Survey
TrustArc Webinar - 2024 Global Privacy SurveyTrustArc Webinar - 2024 Global Privacy Survey
TrustArc Webinar - 2024 Global Privacy Survey
 
GraphRAG for Life Science to increase LLM accuracy
GraphRAG for Life Science to increase LLM accuracyGraphRAG for Life Science to increase LLM accuracy
GraphRAG for Life Science to increase LLM accuracy
 
Artificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopmentArtificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopment
 
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...
 
leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...
leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...
leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...
 
Trusted Execution Environment for Decentralized Process Mining
Trusted Execution Environment for Decentralized Process MiningTrusted Execution Environment for Decentralized Process Mining
Trusted Execution Environment for Decentralized Process Mining
 
HCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAUHCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAU
 
System Design Case Study: Building a Scalable E-Commerce Platform - Hiike
System Design Case Study: Building a Scalable E-Commerce Platform - HiikeSystem Design Case Study: Building a Scalable E-Commerce Platform - Hiike
System Design Case Study: Building a Scalable E-Commerce Platform - Hiike
 
Finale of the Year: Apply for Next One!
Finale of the Year: Apply for Next One!Finale of the Year: Apply for Next One!
Finale of the Year: Apply for Next One!
 
Azure API Management to expose backend services securely
Azure API Management to expose backend services securelyAzure API Management to expose backend services securely
Azure API Management to expose backend services securely
 
GenAI Pilot Implementation in the organizations
GenAI Pilot Implementation in the organizationsGenAI Pilot Implementation in the organizations
GenAI Pilot Implementation in the organizations
 
Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...
Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...
Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...
 
How to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdf
How to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdfHow to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdf
How to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdf
 
WeTestAthens: Postman's AI & Automation Techniques
WeTestAthens: Postman's AI & Automation TechniquesWeTestAthens: Postman's AI & Automation Techniques
WeTestAthens: Postman's AI & Automation Techniques
 
Generating privacy-protected synthetic data using Secludy and Milvus
Generating privacy-protected synthetic data using Secludy and MilvusGenerating privacy-protected synthetic data using Secludy and Milvus
Generating privacy-protected synthetic data using Secludy and Milvus
 
Operating System Used by Users in day-to-day life.pptx
Operating System Used by Users in day-to-day life.pptxOperating System Used by Users in day-to-day life.pptx
Operating System Used by Users in day-to-day life.pptx
 

Semiconductor Equipment and Spare Parts 3

  • 1. 1791 Applied Materials 0242‐21289/ROBOT,P5000,DRIVE 8"/ APPLIED MATERIALS 1792 Applied Materials 0242‐24854 / KIT, GVDE, 200MM DPN / APPLIED MATERIALS AMAT 1793 Applied Materials 0242‐37884, AMAT 1794 Applied Materials 0242‐70220, 0010‐13321R / ROBOT,P5000,DRIVE 8 / APPLIED MATERIALS AMAT 1795 Applied Materials 0242‐85184, KiT RF , FEEDTHRU, HR DTCU, RTROFIT DPS 1796 Applied Materials 0242‐86852 / KIT, SPARES, 1.5K WXZ UWAVE / APPLIED MATERIALS AMAT 1797 Applied Materials 0242‐88819 ,0010‐21676/ VECTRA IMP 1 SOURCE ASSY PVD/ AMAT 1798 Applied Materials 0246‐01055 ,0041‐05819, 0041‐27270 /300MM PRODUCER SE, KIT SILANE /  APPLIED MATE 1799 Applied Materials 0246‐08709 / DPS2 ETCH CHAMBER 300MM ESC CATHODE RF HEATED DPS2/  AMAT 1800 Applied Materials 0270‐02530 / ASSY 300MM TOOL VIEW LID 0040‐02290 / APPLIED MATERIALS  AMAT 1801 Applied Materials 0270‐35183 Susceptor Leveling Tool 1802 Applied Materials 0290‐09018 / HEAT EXCHANGER CONFIGURE / APPLIED MATERIALS 1803 Applied Materials 0290‐09275 Version 4 Teos Hotbox Intel Precision 5000 1804 Applied Materials 0290‐20094 VECTRA IMP CHAMBER, B101, AMAT ENDURA "REFURBISHED" 1805 Applied Materials 0290‐35825 / 5200 CVD AXZ CHAMBER ASSY **STAND NOT INCLUDED**/ APPLIED  MATERIALS 1806 Applied Materials 03‐83601‐00 PCB Mother Board 1807 Applied Materials 03‐83601‐00 PCB Mother Board 1808 Applied Materials 0500‐00033 / ASSY RAMS,P5000 ONLY / APPLIED MATERIALS AMAT 1809 Applied Materials 0500‐00300 / CONTROLLER, PYRAMID X‐SCAN DOSIMETRY / APPLIED MATERIALS  AMAT 1810 Applied Materials 0500‐A0181 / OPHIR POWER AMPLIFIER / APPLIED MATERIALS AMAT 1811 Applied Materials 0520‐00037 (or 0520‐00068) ACTR PNEU DBL LOCK 37X435 OPEN ANODIZED, SLIT  VAL, 07 1812 Applied Materials 0540‐01010; AMAT, AMPULE POWER TRIODE WATER COOLED 5000WATT 1813 Applied Materials 0550‐01013 / SENSOR ‐ANALY‐RGA TRANSPECTOR COMPACT / APPLIED  MATERIALS AMAT 1814 Applied Materials 0660‐01743 / CARDGMSV46 SINGLE BOARD COMPUTER/ AMAT 1815 Applied Materials 0660‐01847 / CARD PENTIUM 133MHZ 32MB RAM VME BUS DO / APPLIED  MATERIALS AMAT 1816 Applied Materials 0660‐01847 AMAT CARD PENTIUM 133MHZ 32MB RAM VME BUS DO The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 61 sales@semistarcorp.com
  • 2. 1817 Applied Materials 0660‐90093, Applied Materials, CARD, LOOP CONTROLLER 1818 Applied Materials 0711‐GAS Gas Flow Control Module 1819 Applied Materials 0730‐01046, 0730‐00116; TURBOTRONIK PUMP CONTROLLER 1820 Applied Materials 0920‐00024,3150852‐001 / GEN RF 60MHZ 2KW ATLAS 2060 3PH 208VA/ APPLIED  MATERIAL 1821 Applied Materials 0920‐01070, 3150058‐002 / RF GENERATOR, RECZ SOURCE, RFPP RF20R / AMAT 1822 Applied Materials 0920‐01111, AMAT, ĢENERATOR MICROWAVE MAGNETRON HEAD Astex 2.45 GHZ  3KW 1823 Applied Materials 0920‐01124, AMAT, GEN FLUORINE 208VAC 3PHASE W/ ARGON IGNITION 1824 Applied Materials 0920‐01124; AMAT, GENERATOR FLUORINE 208VAC 3PHASE W/ARGON IGNITION 1825 Applied Materials 200mm Ceramic Heater 0040‐42512 1826 Applied Materials 200mm Sputter Etch Chamber 1827 Applied Materials 3750‐01112 TUBE PLASMA SOURCE SAPPHIRE 1/4VCR Astex AMAT 1828 Applied Materials 7810 series Gas Ring 670547 1829 Applied Materials 7810 series Seal plate 670412 1830 APPLIED MATERIALS 8" AXZ PLUS HEATER 0010‐37969 1831 APPLIED MATERIALS 8" CVD CHAMBER WSI GAX BOX 0010‐09940 1832 Applied Materials 8300F (0010‐00172) Pre‐Reactor Chamber 1833 Applied Materials 8300L (0010‐00172) Pre‐Reactor Chamber 1834 Applied Materials AMAT 0010‐04514 ASSEMBLY, ISCAN MODULE 1835 Applied Materials AMAT 0010‐19666 Assy Rotation 300mm HTF / EPI 1836 Applied Materials AMAT 0010‐27419 300mm chamber valve actuator 1837 Applied Materials AMAT 0010‐37549 rev3 ASSEMBLY, ISCAN MODULE 1838 Applied Materials AMAT 0010‐37866 ASSEMBLY, ISCAN MODULE 1839 APPLIED MATERIALS AMAT 0010‐48407 CLEAR LID TRANSFER KIT C3 1840 Applied Materials AMAT 0040‐85475 Ceramic Heater PROD SE/GT 300mm (0010‐59789) 1841 APPLIED MATERIALS AMAT 0041‐42362 PLENUM COVER WELMENT LID 1842 APPLIED MATERIALS AMAT 01‐81150‐00 / REDUCER BOX ASSY MO61‐FD‐301 904‐1016  1843 Applied Materials AMAT 0190‐37165 Comet 20032711 RF Match 1844 APPLIED MATERIALS AMAT 3870‐04597 NORCAL INTELLISYS IQ PENDULUM GATE VALVE 1845 Applied Materials AMAT Centura CVD T1CL4 TIN Cold Trap 1846 Applied Materials AMAT Centura CVD T1CL4 TIN Cold Trap 1847 APPLIED MATERIALS AMAT DPS CENTURA RASCO CHILLER HEAT EXCH WTC‐A182‐AMJ 1848 APPLIED MATERIALS AMAT DPS CENTURA RASCO CHILLER HEAT EXCH WTC‐D182‐AMJ 1849 APPLIED MATERIALS AMAT EDWARDS DRY VACUUM PUMP IXH200H The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 62 sales@semistarcorp.com
  • 3. 1850 APPLIED MATERIALS AMAT ENDURA CUBS CLEAN AMPOULE 0010‐32021 HEATER VESSEL 1851 APPLIED MATERIALS AMAT ENDURA CUBS CLEAN AMPOULE HEATER VESSEL 0010‐32021 1852 APPLIED MATERIALS AMAT HART CHAMBER LINER 0022‐11420 300MM 1853 Applied Materials AMAT N2 Purge Manifold, 0190‐12164 1854 APPLIED MATERIALS AMAT NESLAB HEAT EXCHANGER 0190‐66224 BOM 614205990210 1855 Applied Materials AMAT Onoda Ozone Generator OR‐4ZA 0920‐01016 1856 Applied Materials AMAT P5000 Flow Assembly, 0010‐01548 1857 Applied Materials AMAT P5000 Robot Blade 1858 APPLIED MATERIALS AMAT PMAX EMISSOMETER PROBE ASSEMBLY 0010‐23715 1859 Applied Materials AMAT PN: 0010‐18024 300mm Radiance Pyro Pyrometer RTP Probe 1860 Applied Materials AMAT PN: 0010‐18024 300mm Radiance Pyro Pyrometer RTP Probe 1861 Applied Materials AMAT STEC Valve Assembly, 3870‐02553 1862 Applied Materials AMAT Susceptor, 0200‐01947 1863 Applied Materials AMAT VAT 15028‐FA24‐1002, 0190‐02238 1864 Applied Materials AMAT VCR Valve Weldment, 0050‐81387 1865 Applied Materials AMAT Veriflo Valve Man., 0050‐84735 1866 APPLIED MATERIALS AMAT YASKAWA XU‐RCM6901 WAFER LOADER ROBOT CMP REFLEXION MIRRA 1867 Applied Materials AMAT‐0190‐17208‐VACUUM HANDLER ASSY. 1868 Applied Materials AME‐3100 Chamber: Sputter Coating System ‐ 3" Magnetron 1869 Applied Materials Assembly Endura Load Ports Wafer Processing 33 ½ x18x18 No Key 1870 Applied Materials Assembly Endura Load Ports Wafer Processing 34 ¼ x18x18 No Key 1871 Applied Materials Astex AX8200A Ozone Generator 0190‐09437 A *untested* 1872 Applied Materials Astex AX8200A Ozone Generator 0190‐09437 A *untested* 1873 APPLIED MATERIALS BASIC MOTORIZED LIFT PVD 0010‐70441 1874 APPLIED MATERIALS CHAMBER INVENTORY LIST 1875 APPLIED MATERIALS CHAMBER LID WSI 0010‐09940 1876 APPLIED MATERIALS CONTROLLER 0090‐91161ITL XR80 IMPLANTER SPIN STATION CHASSIS 1877 APPLIED MATERIALS CONTROLLER 0090‐91436 XR80 IMPLANTER PRE ACCEL AMAT CHASSIS 1878 APPLIED MATERIALS CTI CRYOGENICS IS CRYOPUMP 0190‐31428 8113156G001 w/CONTROLLER 1879 APPLIED MATERIALS CVD/BW 5000 GAS BOX 0010‐09247 1880 APPLIED MATERIALS DPS UPPER LINER ASSY CENTURA 300MM 0040‐37642 0021‐13741 1881 Applied Materials Endura 0010‐96696 Indexer Standard Cassette A 5500 AMAT The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 63 sales@semistarcorp.com
  • 4. 1882 Applied Materials Endura 5500 Load Port AMAT 1883 APPLIED MATERIALS ENDURA MAGNET 0010‐20768 1884 Applied Materials Excite High Speed Particle Detection System w Aerotech DR500 1885 APPLIED MATERIALS HEAT EXCHANGER AMAT 0 1886 APPLIED MATERIALS IMPLANTER 9200 FERO MOTOR 3700‐90056 1887 Applied Materials Inc. 70312800400 HVU High Voltage Ion Implanter Control Panel 1888 APPLIED MATERIALS LOADLOCK 0190‐37105 AXIOM CONDOR VAT VALVE 0430X‐BA24 AMAT 1889 APPLIED MATERIALS OPERATOR CONSOLE VERASEM 3D U‐571 1890 APPLIED MATERIALS P5000 8" ROBOT LIMB 0010‐13321 1891 APPLIED MATERIALS P5000 ETCH CHAMBER LID 1892 APPLIED MATERIALS P5000 ETCH CHAMBER LID 1893 APPLIED MATERIALS P5000 MARK II ETCH CHAMBER LID 0021‐09008 1894 Applied Materials Praxair Ampoule Bubbler, 0195‐02636 1895 Applied Materials Precision 5000 Mark II CVD Teos/Nitride System (REFURBISHED) 1896 APPLIED MATERIALS PRECLEAN CHAMBER PROCESS KIT 0040‐20561 1897 APPLIED MATERIALS RING MAGNET ASSY,UPPER 0010‐30591 AMAT 1898 Applied Materials Storage Elevator Assy w/ motor 0010‐70409 1899 APPLIED MATERIALS TXZ CHAMBER KIT 1900 Applied Materials Universal CVD Chamber, Delta Nitride 6‐8" P‐5000 AMAT 1901 APPLIED MATERIALS UPPER MAGNET ASSY 0010‐30591 1902 APPLIED MATERIALS VERASEM 3D 1903 Applied Materials, AMAT Mirra Mesa CMP Contoure Head 200MM 1904 Applied Materials, AMAT, PVD, Endura 0010‐76136 ASSY, WAFER LIFT HTHU HEATER 1905 APPLIED MATERIALS, RG‐578, COLLAR EXTEND 1906 APPLIED MATERIALS, RG‐579, 8in, COVER, EXTENDED E‐CHUCK 1907 Applied Materials/AMAT 0190‐07261 REV 003 KAWASAKI ROBOT 3NS001S‐L002 PREALIGNER 1908 Applied Materials/AMAT 8330A/8330 6"/150mm Metal Etcher 1909 Applied Materials/AMAT Assy, High EFF RF Match, HTESC PH 1 PN: 0010‐22161 Rev A 1910 Applied Materials/AMAT Endura Upper Shield PVD 300MM 1911 APPLIED MATERIALS‐SEMITOOL RTA COMPUTER MODULE 900T0519‐01 1912 APPLIED MST MONOLAYER DEPOSITION PRODUCTION TOOL SAM‐02 1913 APPLIED PRECISION 53‐450000‐001 / WAFER WORKX PROBE MARK ANALYSER / APPLIED PRECISION 1914 Applied Precision MicroBurst 150mm Ultrasonic Cleaning System 1915 Applied Precision WaferWoRx Olympus MX80‐F Inspection with Genmark Robot 1916 Applied Test Systems 3350‐CA 1700 deg C High Temperature Box Furnace9 1917 Apricot Designs i‐Pipette Pro Automated Pipettor 1918 APS 2000 (Brooks/Irvine) 2 station lot sorter The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 64 sales@semistarcorp.com
  • 5. 1919 APS DDM Novastar Gold‐Flow GF‐12 Bench Top Reflow Oven with Rolling Table 1920 APS NOVASTAR GOLD PLACE MODEL L‐40 PICK & PLACE SYSTEM (#2640) 1921 APS Novastar SP A300 Selective Solder 1922 Aqueous AQ‐300 Defluxing Batch PCB/SMT Cleaner/Cleaning System w/AQ‐15 Recycler 1923 Aqueous Model AQ‐400/SMT Laboratory Dish Washer PC Board Batch Cleaner 1924 Aqueous Technologies SMT 800 Batch Cleaner, REFURBISHED Machine, Parts Warranty 1925 Aqueous Technologies SMT800‐LD Chemical Batch Washer ‐ FREE SHIPPING! 1926 Aqueous Technologies Trident III Duo LD PCB Batch Cleaner 1927 Aqueous Technologies Zero Ion G3‐24 Circuit Board Contamination Tester 1928 Aqueous Trident III (3) PCB SMT Cleaning/Defluxing Washer System/Batch Cleaner 1929 Aquila NKD 8000 thin film analyzer Metrology elipsometer 1930 ARBIN BT‐2000 BT2000 BATTERY TEST SYSTEM (#1745) 1931 Arbin Instruments BT‐2000 Battery Tester 1932 Arbin Instruments BT‐2000 Battery Tester1 1933 Arbin Instruments BT‐2043 32‐CH Potentiostat/Galvanostat Battery Testing Console 1934 Arbin Instruments BT‐2043 Battery Test System9 1935 Arch Epifill Bulk Delivery System Cabinet EPIFILL 850 PN:888043 110v w/ Keys etc 1936 Arcom 7041‐64011‐004‐202 Master and Ctrl Computer 7041‐64012‐004‐102 As‐Is 1937 Aremco Accu‐Cut 5200 Ultra Hard Material Dicing Saw 1938 Argus FS1028 Flat Quartz Crystal Soldering System 1939 AS809 Die Sorter 1940 Asahi 130NK 3‐2 AVIS2 ERG AMP Nikon 4S587‐011  1941 Asahi 130NK 3‐2A AVIS2 ERG AMP Nikon 4S013‐374 4S587‐011‐1  1942 ASAHI PYRO KE‐V4‐IN‐02 TEL ALPHA 8 Thermo Couple (T/C), CASCADE CONTROL Type R S 1943 ASC AV873 AOI OFFLINE SERIES 1944 ASC International Decktop SCI with Power Supply and USB cable SP3D 1945 ASC International Laser Vision SP3D‐ADC 3D Solder Paste Inspection 1946 ASC International LaserVision SP3D‐ADC (3D Solder Paste Inspection) 1947 Aseptic isolator compounding USP 797 BioSafe Terra Universal 1948 ASI PS C1180 / PULSE POWER SUPPLY, VIISTA VISION / VARIAN 1949 ASM / ASTEX 1067‐070‐01 / AX7657‐15 GENERATOR‐FLUORINE 3KW ASTRON2L MDM IGN V 1950 ASM / IEI 1014‐510‐01‐R / ACE4518AP/HPE4S1 CONTROLLER‐CLUSTER TOOL RAID 1951 ASM 01‐E27171 /EXPANDER ASSY (6 INCH WAFER) (W=212MM)/ ASM 1952 ASM 02‐184406‐01 Dual Pulsar Power Cabinet New Surplus 1953 ASM 02‐327347D03 ASSY‐INJECTOR FLANGE RP RH STEPPED The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 65 sales@semistarcorp.com
  • 6. 1954 ASM 02‐338116‐01 ASSY‐MMI‐SMIF FEI‐MITSUTECH 1955 ASM 04‐404382‐01 Retrofit Kit RFK‐V3 LPV HIG 700 LH New Surplus 1956 ASM 1012‐274‐01 KIT ‐ ADAPTER HLS VESSEL TO 1957 ASM 1012‐522‐01 Upper Lamp Array Assembly ASSY‐UPPER LAMP ARRAY New 1958 ASM 1018‐538‐01 Moisture Analyzer Connection New 1959 ASM 1048‐432‐01 Kit Advance Purchase Elec Add Universal New 1960 ASM 1049‐842‐01 Kit Retrofit SCE 3 BK 1200 MOD VSL New 1961 ASM 1051‐446‐01 / Kyocera 709216 Exhaust Duct CBS‐RC1 New 1962 ASM 1066‐481‐01 ASSEMBLY REACTION CHAMBER ITSB 1963 ASM 1071‐018‐02 ASSY‐PVM CTR PORT MET SEAL NO HTR ELEM 1964 ASM 16‐321312E01 Quartz Process Chamber 150mm Wafers.Epsilon 2000 Epi Reactor. 1965 ASM 16‐405066‐01 PLATE‐SHOWER N2 PURGE ALD6550 1966 ASM 180 TD+/LEAK DETECTOR/ALCATEL VACUUM PRODUCTS 1967 ASM 2841436‐01 Reactor Touchscreen 1968 ASM 73055‐72384 Insulator Plate CBS RC1 1969 ASM 77‐123776A48 SENSOR‐WAFER LVL30 New 1970 ASM 83‐125000A56 End Effector ATM RB (SUP by 16‐180503D01) 1971 ASM 93000‐07445 WAFER ALIGNER 1972 ASM A157636 ASM A600 UHV‐CP Wafer Handler 1973 ASM AD896‐06 DIE BONDER, 2006 VINTAGE 1974 ASM Advanced Semiconductor Materials 02‐146250‐01 Lower Loadlock Enclosure New 1975 ASM Advanced Semiconductor Materials 02‐146714‐01 Upper Loadlock Enclosure New 1976 ASM Advanced Semiconductor Materials 16‐141270‐01 Chamber Process ATM 300MM Used 1977 ASM Advanced Semiconductor Materials 16‐141270‐01 Process Chamber ATM 300MM Used 1978 ASM Advanced Semiconductor Materials 16‐141270‐01 Process Chamber ATM 300MM Used 1979 ASM Advanced Semiconductor Materials 2447053‐01 ASSY Evaporator RC1 1980 ASM Advanced Semiconductor Materials 96‐125485A29 Pedestal UNI HSE Platen New 1981 ASM Advanced Semiconductor Materials 96‐125485A29 Pedestal UNI HSE Platen New 1982 ASM Advanced Semiconductor Materials S33‐2178 Eagle 300mm Susceptor Pedestal New 1983 ASM AMERICA 02‐320586D01 Reflector‐Center‐Assy 1984 ASM America 2317311‐01 ASSY INLINE WATER COOLED TRAP 1985 ASM America 2385090‐01 ASSY INLINE WATER COOLED TRAP 1986 ASM ASSEMBLY AUTOMATION AD809 DIE BONDER_AS‐DESCRIBED‐AS‐AVAILABLE_UNIQUE HERE~ 1987 ASM ASSEMBLY AUTOMATION AD809D‐00 DIE BONDER_AS‐PICTURED_HARD‐TO‐FIND_FCFS~ The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 66 sales@semistarcorp.com
  • 7. 1988 ASM Assembly Automation BP300‐HD Automatic Ball Placement System Spare 1989 ASM COE 139 Curing System 1990 ASM CP20P C+P20 3091157S04 New Head + Type 23 GIGE Camera + Spares 1991 ASM D004651D SOLID SOURCE CONTAINER‐ HIG New 1992 ASM Eagle 60 Gold Wire Ball Bonder 1993 ASM EAGLE 60 WIRE BONDER (#3023) 1994 ASM Eagle‐12 Rapidfire Plasma CVD D‐N2 Gas Box/Module System 1995 ASM Epsilon 3200 E3200 EPI Reactor Chamber ***FOR PARTS*** 1996 ASM Fully Automated Gold Wire Ball Bonder Eagle60‐XL 11‐2008 (5819) 1997 ASM Furnace/EPI? Load Lock Chambers A600/A400/A412? Qty. 4, 2003‐01‐L & ‐R 1998 ASM LPT08 LED Taping and Packaging System 1999 ASM MS100 Map Sorter 2000 ASM MS100 Map Sorter (2011) 2001 ASM MS896A 3" Wafer Die Sorter Semiconductor Automated DIE Sorting (5678) c 2002 ASM PN 2051524‐01 Heating Element, ASM DFS HT Furnace 2003 ASM PN: 2832739‐01 Assy Mech, Heat Element, A412 Furnace Heating Element 2004 ASM PN: 2832739‐01 Assy Mech, Heat Element, A412 Furnace Heating Element 2005 ASM PN: 54‐123388A01 Controller‐System‐Mecca Module, MN: 80.80.37.676 Chess 2006 ASM Polygon 8200 HF Vapor Clean Liquid Source Cabinet 2007 ASM SIPLACE 2018 TX2 CPP Multistar / Twin Head ‐ only 1.1M placements 2008 ASM SIPLACE Multistar CPP Head 3053528S07 + Type 30 27x27 GIGE Camera ‐ NEW 2009 ASM Siplace TX Docking Station COT40 ‐ Feeder Cart Loading 00116933‐05 2010 ASM SIPLACE X Feeder Package ‐ 50 Units ‐ DL8MM ‐ 44MM Compatible with SX TX X 2011 ASM Technology Eagle 60 Wire Bonder 2012 ASM TECHNOLOGY SINGAPORE SNAP CURE OVEN MODEL IB109_AS‐PICTURED_NICE DEAL~ 2013 ASM XP4 TRANSFORMER‐34KVA 3PH PRI480V SEC380/120 INSTALL KIT 2014 ASME VERTICAL FURNACE LPCVD LINER A412 2538865 100‐00532 2015 ASME VERTICAL FURNACE PROCESS TUBE ATM 2805979 2016 ASME VERTICAL FURNACE PROCESS TUBE LPCVD 2538881 2017 ASML 02‐121642‐00 ESC 200MM MC TYPE 2018 ASML 02‐15783‐08 REV.F / ASM SERVO DRIVER 02‐15783‐08 REV. F APD20 AC DUAL / ASML 2019 ASML 2500 PSCOPE CAMERA 4022.428.05233 22052358 2020 ASML 300MM SCANNER WAFER TABLE CHUCK 4022.451.92783 2021 ASML 4022.428.1210, PAS 5000/2500 Main Switch Unit 2022 ASML 4022.435.4165.2 2023 ASML 4022.436.1445.1 Haake 337‐4003 TCU Controller Chiller 914902 2024 ASML 4022.436.1555 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 67 sales@semistarcorp.com
  • 8. 2025 ASML 4022.436.3351.3 2026 ASML 4022.454.5180.1 Haake 002‐7474 TCU Controller Chiller 195001751002 2027 ASML 4022.454.5180.1 Haake 002‐9087 TCU Controller Chiller 196014464/007 2028 ASML 4022.456.28975 2029 ASML 4022.472.1994 with boards and power supplies SVG 2030 ASML 4022.472.4037 with boards and power supplies SVG 2031 ASML 879‐0317‐005 / ASML DOSE MODULE FILTER SHUTTER WITH LENSES/ ASML 2032 ASML ASM LITHOGRAPHY PAS 5500 WAFER STEPPER SYSTEM SOFTWARE 2033 ASML GRIPPER ASSEMBLY FOR PAS 2500/5000 4022‐451‐04002 WITH 4022‐435‐0190 2034 ASML K VALVE F10 PNEUMATIC BLOCK FOR PAS 2500/5000 4022‐431‐24082 302‐A837 2035 ASML K VALVE F10 PNEUMATIC BLOCK FOR PAS 2500/5000 4022‐431‐24082 302‐A837 2036 ASML Mains Switching Unit (MSU) 2037 ASML PAS 2500 5000 STEPPER BAR CODE READER 4022.430.0023 2038 ASML PAS 2500 5000 STEPPER HINDS PHOTOELASTIC MODULATOR ELECTRONIC HEAD DPEM 200 2039 ASML PAS 2500 5000 STEPPER INDEXER 4022.502.25453 4022.502.25455 4022.502.25456 2040 ASML PAS 2500 5000 STEPPER MICROSCOPE P‐SCOPE CAMERA 4022.428.05233 2041 ASML PAS 2500 5000 STEPPER OCU OPERATOR CONTROL UNIT 2042 ASML PAS 2500 5000 STEPPER REMA BLADES 4022.428.0863 4022.502.26218 2043 ASML PAS 2500 5000 STEPPER RMS GRIPPER ASSY 2044 ASML PAS 2500 5000 STEPPER TESA BOX 2045 ASML PAS 2500 5000 STEPPER TESA BOX 2K0023 2046 ASML PAS 2500 5000 STEPPER ULTRA CLEAN AIR FOOT BELL 374 2047 ASML PAS 2500 PAS 5000 STEPPER P TABLE 4022.631.3070 150MM 2048 ASML PAS 2500 STEPPER BARCODE READER 4022.430.0053 2049 ASML PAS 2500/40 5000 4022.431.03902 4022.431.05631 ELEVATOR MARK II LIBRARY 6" 2050 ASML PAS 2500/40 WAFER STEPPER SYSTEM 150 MM/6” 2051 ASML Scanner FBA TIS DUV SWS Sensor 2052 ASML SERV.639.68471 2053 ASML STEPPER COMPUTER 4022‐537‐26841 2054 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 281613.933 KAY VALVE 2055 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.05423 KAY VALVE #1 2056 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.05033 KAY VALVE 2057 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.05036 KAY VALVE #11 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 68 sales@semistarcorp.com
  • 9. 2058 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.05412 KAY VALVE 2059 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.05422 KAY VALVE 2060 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.05702 KAY VALVE 2061 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.05703 KAY VALVE 2062 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.428.24302 KAY VALVE 2063 ASML STEPPER PAS2500 FESTO PNEUMATIC BOX III 4022.430.0593 KAY VALVE #6 2064 ASML STEPPER REMOTE MONITOR CART 2065 ASML TWINCSCAN SCANNER LENS ASSEMBLY 4022.656.21202 2066 ASML TWINSCAN SCANNER WAFER TABLE PIN CHUCK TABLE 2067 ASML Wafer Stage X‐Stator Assy (new) 2068 ASML Wafer Stage Y‐Stator Assy (new) 2069 Assembleon (Philips/Yamaha) Topaz XII 2070 Assembleon Philips Opal Xii 2007 8 head, pick and place M62455 2071 Assembleon Philips Opal Xii 8 head pick and place 2007 vintage, 50 feeders 2072 Assembleon Sapphire Philips Pick and Place PCB SMT Component Placement PC Board 2073 Assembleon Topaz XII Pick & Place Machine 2074 ASSY, RF DIST, REMOTE CLEAN, C3VCTR 2075 ASTEK AHT3‐LAI‐01 HT3 Packet Analyzer 2076 ASTeX ‐ FI20606 ‐ MICROWAVE MATCH, Smart Match 2077 astex / gerling HDP‐CVD downstream plasma source 3.5kw magnetron isolator tuner 2078 ASTEX A‐2500 MICROWAVE POWER GENERATOR, 2079 Astex ABX‐X490 Driver Board PCB Rev. B  2080 Astex ARX‐X248, 13.5 Mhz Solid State Driver AMAT 0190‐18146 Used **** 2081 Astex ASTRONex FI80132‐R 2082 Astex AX2050 Microwave Power Generator, RF, FI20195, AMAT 0920‐01104, 321120 2083 Astex AX3060PSK‐1 Smart Switch 2084 Astex AX7650 Remote Plasma RF Generator 2085 Astex AX7650 Remote Plasma RF Generator, RPS, MKS, 397201 2086 ASTEX AX7651 / REMOTE PLASMA SOURCE GENERATOR / ASTEX 2087 ASTeX AX8200A Ozone Generator AX8200 AMAT Applied Materials Damaged Tested As‐Is 2088 ASTEX AX8200E / OZONE GENERATOR / ASTEX 2089 Astex AX8300QTI Ozone Generator with (2) AX8000 and monitor 2090 ASTEX AX8560‐3020 / GENERATOR,OZONE/ AX8560‐3020 2091 astex ECR plasma source for CVD deposition of diamond and DLC or other materials 2092 Astex FI20061, FI20104, FI20099, AMAT 0920‐01072, 3750‐01129, Microwave, 422422 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 69 sales@semistarcorp.com
  • 10. 2093 Astex FI20065/FI20106 SmartMatch Applicator, AX7610‐3, AMAT 3750‐01114, 422423 2094 ASTEX Ozone Generator AX8200A Used 0190‐09436 Rev B 281 Untested 2095 ASTEX PN‐004731063 ECR POWER SUPPLY EMS 27‐185‐8‐D‐10T‐0697A 2096 Astex/MKS 1.8 kW Microwave Downstream Plasma Source, Smart Match, Mag Head +More 2097 ASTEX/MKS PARAGON AX7700IPS‐01 (IPN: 0190‐39484) 2098 ASTRONex MKS Instruments FI80131 Plasma Source 1288 hours AMAT 0920‐00057 Tested 2099 ASTRONex MKS Instruments FI80131 Plasma Source 2788 hours AMAT 0920‐00057 Tested 2100 Asymtek 402 Automated Fluid Dispensing System 2101 Asymtek 402 Automated Fluid Dispensing System Software Manuals Included 2102 Asymtek 403 Glue / Epoxy / Adhesive Dispenser S/N: 3297 2103 Asymtek 403G with 18"x18" dispense area and UV curing tool 2104 Asymtek A‐612C Dispenser (C160302) 2105 Asymtek A‐612C Dispensing System 2106 Asymtek A‐618 C Dispenser 2107 Asymtek A‐618C Automatic Fluid Dispenser 2108 Asymtek Adhesive / Glue / Epoxy Dispenser ‐ 403G ‐ S/N: 2296 Inverted on Ganty 2109 ASYMTEK AUTOMATED DISPENSING SYSTEM C‐708 2110 Asymtek Automove 403 Dispensing System SN 003297 2111 Asymtek Axiom X‐1020 Dispenser 2112 Asymtek C‐718 Glue Dispenser 2113 Asymtek C‐740 Conformal Coating 2114 Asymtek C‐741 Conformal Coat 2115 ASYMTEK D‐553 2116 Asymtek Dispensing System 2117 ASYMTEK FLUID DISPENSING SYSTEM 2118 Asymtek Millenium High Speed Glue Dispenser 2119 Asymtek Millenium M‐2020 Ultra High‐Speed/High‐Accuracy Dispensing System 2120 ASYMTEK S‐820 Spectrum batch underfill / glue dispenser, heated table 2121 Asymtek S‐820B Spectrum PCB / Underfill dispenser ‐ DJ9000 2122 Asymtek Spectrum S‐820B dispenser, dual valve mount, with DJ9000 Head REDUCED 2123 Asymtek Spectrum S‐910N 2013 inline dispenser, dual valve mount, DJ9500 2124 Asymtek/Nordson Century C‐702 Automated Fluid Dispensing System 2125 ASYS LSB01 Destacker. Bare board loader. PCB SMT 2126 ASYS MPS 50 2127 ASYS TML Large board Transfer conveyor 24x48" 2012 #043341 2128 ASYS TML Large board Transfer conveyor 24x48" 2012 #043796 2129 ASYS VEGO AEM 02 90 degree turn station conveyor 2014 vintage 2130 Asyst 05050‐017 Wafer Pre‐Aligner Model 5 ASM Epsilon 3000 Prealigner Used The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 70 sales@semistarcorp.com
  • 11. 2131 Asyst 12919‐002 Robot Controller KLA Tencor *tested working, 90 day warranty* 2132 ASYST 15534‐001 Wafer Pre‐Aligner Model 5X 2133 Asyst 300FL S3 STD KT07 Load Port 9750‐0038‐01 *tested working, 90 day warranty* 2134 Asyst 300FL S3 STD KT07 Load Port 9750‐0038‐01 *tested working, 90 day warranty* 2135 Asyst 4002‐6633‐01 Spartan EFEM Wafer Mapper Shuttle FRID Rev. 002  2136 Asyst FAB 200mm Wafer Handling Robot 04300 2137 Asyst Hine Design 04630‐003 Load Elevator Indexer GaSonics 94‐1175  2138 Asyst Isoport I/O Load Port 300mm 12" Wafer Loader (9700‐9129‐01 Rev. J) 2139 Asyst IsoPort Wafer Loader 9700‐9129‐01 Rev. J without Mapping Option 2140 Asyst LPT Indexer 2200 9700‐3260‐01 2141 ASYST MECS UTXN1310 Robot with 14 day warranty 2142 Asyst Shinko VHT5‐1‐1 OHV Overhead Vehicle SSOHT‐300 300mm FOUP Wafer Transport 2143 ASYST SMIF‐300FL, S3, 25WFR 9750‐2000‐00 Wafer Load Port 2144 Asyst Technologies 05050‐017 Wafer Pre‐Aligner Model 5 Prealigner  2145 Asyst Technologies 0FH3000‐001 Prealigner Hitachi I‐900SRT  2146 Asyst Technologies 0FH3000‐001 Prealigner Hitachi I‐900SRT  2147 Asyst Technologies 9700 300mm Load Port SMIF‐300FL 3200‐1065‐04 Working Spare 2148 Asyst Technologies 9700‐5158‐01 300mm Load Port SIMF‐300FL  2149 ASYST TECHNOLOGIES 9700‐5158‐03 / LOAD PORT FA 300FL S2.1 25WFR / ASYST TECHNOLOGIES 2150 ASYST TECHNOLOGIES 9700‐6321‐01 / LPO 2200 ASCII SI VIPER 2401 / ASYST TECHNOLOGIES 2151 Asyst Technologies 9700‐8106‐01 SMIF‐300FL Load Port 300FL S2.1 HAMA ROX Used 2152 Asyst Technologies 9700‐8107‐01 300mm Wafer Load Port 300FLS2,HAMA ROX/E84 Used 2153 Asyst Technologies 9700‐9129‐01 300mm Wafer Load Port IsoPort New 2154 Asyst Technologies 9700‐9129‐01 300mm Wafer Load Port IsoPort Working Spare 2155 Asyst Technologies 970‐5158‐01 300mm Load Port SMIF‐300FL KLA CRS‐3000 Used 2156 Asyst Technologies ALU‐2150 9700‐2404‐11 Reticle Loader/Unloader w/Qty 4 Carrier 2157 Asyst Technologies Asyst Model 21 Wafer Robot Part Number: Asyst 14100‐004 2158 Asyst Technologies EMA 18‐010968 G 4900 Loader  2159 ASYST TECHNOLOGIES ISO LOAD PORT 2 9700‐9129‐01 Rev.J 24VDC 5 amps KLA TENCOR 2160 Asyst X‐ARM Wafer Loader 2161 Atcor Model CRD 1210‐26T Wafer Carrier / Box Washer The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 71 sales@semistarcorp.com
  • 12. 2162 ATI MODEL 404CM 4 SPINDLE HIGH SPEED CNC ROUTER /CIRCUIT BOARD DRILL 2163 ATMI Ampule Bubbler, AMAT P5000, TEPO, CVD, Chamber, C7022A, 2D‐TEOS, 450839 2164 ATMI Ampule Bubbler, AMAT P5000, TEPO, CVD, Chamber, C7532, 2D‐PH05, 450840 2165 ATMI EcoSys Vector Ultra V2‐94AA0‐YN‐NNN Scrubber 2166 ATMI GAS CABINET ADCS AUTOMATIC PURGE CONTROLLER , BRC 22A INTEGRATED REFILL CON 2167 ATMI Packing ESCA Diffusion Furnace Kit 233405043 233405641 New Surplus 2168 ATMI SemiChem 4102 DSP Chemical Analyzer (SC4102, DSP+) PN: 4102‐110‐0000 Global 2169 ATMI Unichem 2900 2170 ATMI VECTOR 5000 SCRUBBER ECOSYS VECTOR ULTRA 5000 INTEL CVD‐215 SCRUBBER 2171 ATMI Vector Ultra 5001 Gas Scrubber, Used 2172 Atmoscan Complete Set 2173 Atmoscan Complete Set 2174 ATS NX‐20A‐GL Chiller AMAT 0190‐17815 ATSC 4084670‐001 APPLIED MATERIALS CHILLER 2175 ATS NX‐20A‐GL Chiller AMAT 0190‐17815 ATSC 4084670‐001 B 2176 AU6280N106E51 / DRIVER AC SERVO/ TAMAGAWA, TBL driver TA3487N101 2177 Aurion B‐MBT‐48 30kW T300 Matchbox Prodik for RF Sputtering Disposition Chamber 2178 Austin American AAT X40A Stencil Cleaner, closed loop 2179 Austin American Technology Mega II/ION Solvent Cleaner/Cleaning System AAT 2180 Autolink BVR2100S PCB Router (2011) 2181 Automated 7 Station Ultrasonic System  2182 AUTOMATED PLASMA Circuit Board Plasma Etcher 2183 Automatic Cartridge Dispensing Robot w/ Adtech TV5300 Remote 2184 Automatic Pick and Place Machine QM2100 2185 Automatic SMT Pick and Place Machine with Vision Works to 0402, LED and BGA IC's 2186 Automatic SMT Pick and Place Machine with Vision Works to 0402, LED and BGA IC's 2187 AVAL DATA 4S015‐479 Processor PCB Card ACP‐104ANK (e3A) Nikon NSR‐S620D Used 2188 AVAL DATA ACP‐420 Driver PCB Card Nikon 4S025‐569 NSR‐S620D ArF  2189 Avio TCW‐115A‐C TEC Lead Attach Welding System 2190 Avio TCW‐115A‐C TEC Lead Attach Welding System 2191 AVIZA / GENERAL EASTERN 705177‐001 / ANALYSER, 02 & MOISTURE, END‐HAUSER / AVIZA /  GENERAL EASTERN 2192 AVIZA TECHNOLOGY / DELTA F CORP 705180‐001 / SENSOR, 02 0‐100 PPM (CSU 02) / AVIZA  TECHNOLOGY / DELTA F CORP The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 72 sales@semistarcorp.com
  • 13. 2193 AVIZA TECHNOLOGY 105398‐01 / BASE,HEATER, (W/ WATER LOOP) / AVIZA TECHNOLOGY 2194 AVIZA TECHNOLOGY 105398‐02 / BASE, HEATER, (W/O WATER LOOP) / AVIZA TECHNOLOGY 2195 AVIZA TECHNOLOGY 620046‐09 / ELEMENT LOW TEMP / AVIZA TECHNOLOGY 2196 AVIZA‐WATKINS JOHNSON‐SVG THERMCO 602783‐09 SVG‐Thermco VTR ELEMENT, HEATER, AV 2197 Avtech AVOZ‐B3‐B Pulsed Laser Diode Driver 2198 Avtech AVOZ‐B3‐B‐P Laser Diode Driver Pulsed Voltage 2199 AXCELIS  468451/POWER SUPPLY HV 300MM ES/AXCELIS TECHNOLOGIES 2200 AXCELIS  470961 / ASSY PLUG, ES3 EATON FUSION / AXCELIS TECHNOLOGIES 2201 AXCELIS  624811R 677421TAB /ASSY, FIL (FILAMENT), ES3 EATON FUSION / AXCELIS TECHNOLOGIES 2202 AXCELIS  GRANVILLE‐PHILLPS 360 STABLE ION GAUGE CONTROLLER SET (AXCELIS 1914400) 2203 Axcelis / Eaton Nova 3206 Ion Implanter / Axcelis 6200 / Removed Operational 2204 Axcelis 0342‐0667‐3001 Rotary Shutter Assy for NV 3206 and NV 6200 2205 AXCELIS 11004390 / FLAT & NOTCH SENSOR ASSY / AXCELIS 2206 AXCELIS 1180900 / BELT DRIVE ‐ INA 14344 UPD TO 1193490 SPDL/CHG SENSOR 11S3291/ AXCELIS 2207 AXCELIS 1180900R /BELT DRIVE ‐ INA 14344 UPD TO 1193490 SPDL/CHG SENSO / AXCELIS 2208 Axcelis 1222865 CHUCK ASSY STD DARTBOARD WR12E‐112A / Cast Aluminum Solutions 2209 AXCELIS 1223189 / SPECTROMETER, FIBER OPTIC. AVANTES 2048‐2‐USB2‐AXC2 / AXCELIS 2210 AXCELIS 2000438 / PCB TRANSCEIVER XCVR ETHNET ST‐CONN / AXCELIS 2211 AXCELIS 20007030 / TEMP CONTROLLER AIH‐124QS‐T5 / KOMATSU 2212 AXCELIS 246983 / ASSEMBLY HOT PLATE / AXCELIS 2213 AXCELIS 474631 / ASHER / AXCELIS 2214 AXCELIS 589121 / ASSY, TEMP CONTROL, ES3 EATON FUSION / AXCELIS TECHNOLOGIES 2215 Axcelis 6200 Accelerator Tube 2216 AXCELIS 6inch refurbishd disk 2217 AXCELIS ASSY, WAVEGUIDE ES3 EATON FUSION, MACHINE # RDS320042 / AXCELIS 2218 AXCELIS AX‐086771 / KIT‐REFLECTOR, M150 / AXCELIS TECHNOLOGIES 2219 AXCELIS B 282876 / SOURCE RING ORANGE MACHINE 282876 01 01/ AXCELIS BURNING RING OF FIRE 2220 AXCELIS CRD320010CVTY / ASSY, PLASMA TUBE, ES3 EATON FUSION / AXCELIS TECHNOLOGIES 2221 AXCELIS CRDS320010PM / ASHER STRIPPER CHAMBER/ WITH CONTROLLER RACK / AXCELIS 2222 Axcelis Disk G8‐536 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 73 sales@semistarcorp.com
  • 14. 2223 Axcelis Eaton 8250 Ion Implanter Main Body Interface Module, 150MM 2224 Axcelis Feralflulidick disk Seal 1710590 2225 Axcelis Gemini 2 Chamber 200 mm MICROWAVE Plasma Asher, 202 MCU CHAMBERS, PARTS 2226 Axcelis Gemini Fusion 268661 / 549391 High Voltage Module REFURBISHED Assembly 2227 Axcelis GSD Source controller DI 1520560 PCB 2228 Axcelis GSD Thermocouple Cryo interlock DI 1522920 PCB 2229 Axcelis GSD Universal dose controller DI 5990‐0418‐0002 PCB 2230 Axcelis Microwave Waveguide Assembly ASTeX GL219 624811 Fusion ES3  2231 Axcelis Microwave Waveguide Assembly GAE GA3107 10661 Fusion ES3  2232 Axcelis Microwave Waveguide Power Suppy GAE GA3107 H3862 Fusion E53  2233 Axcelis post electrode assy complete for Nv10‐160 2234 AXCELIS TECH  RDS223044 .2 METER MONOCHROMATOR/ENDPOINTDETECTOR 2235 AXCELIS TECHNOLOGIES 0342‐1433‐0001 / SOURCE GAS CONT ASSY MOD DTC / AXCELIS  TECHNOLOGIES 2236 AXCELIS TECHNOLOGIES 0952‐0241‐0001C / CLAMP ASSEMBLY 8 IN ESC KAPTON PCB 2237 AXCELIS TECHNOLOGIES 11009620 / GATE VALVE,V2,(VAT 08038‐FA24‐AAJ1(REV.G) / AXCELIS  TECHNOLOGIES 2238 AXCELIS TECHNOLOGIES 11028840 / ASSY DOSE CONTROLLER UDCII / AXCELIS TECHNOLOGIES 2239 AXCELIS TECHNOLOGIES 1187170 / SOURCE ASSY INTEGRAL ARC SLIT (MO) / AXCELIS TECHNOLOGIES 2240 AXCELIS TECHNOLOGIES 1500830 / PCB ASSY CUR/VOLT CONV / AXCELIS TECHNOLOGIES 2241 AXCELIS TECHNOLOGIES 1503060 / CONTROLLER REMOTE PCB EATON / AXCELIS TECHNOLOGIES 2242 AXCELIS TECHNOLOGIES 1503230 / PCB ANTILOG A/D (1403230) / AXCELIS TECHNOLOGIES 2243 AXCELIS TECHNOLOGIES 1507440 / PCB ASSY AMU RMT / AXCELIS TECHNOLOGIES 2244 AXCELIS TECHNOLOGIES 1518150 / PCB ASSY AT4 DATA ACQUISITION / AXCELIS TECHNOLOGIES 2245 AXCELIS TECHNOLOGIES 1519350 / PCB ASSY I/O VAC CONT / AXCELIS TECHNOLOGIES 2246 AXCELIS TECHNOLOGIES 1520560 / PCB ASSY SOURCE CONTROL II / AXCELIS TECHNOLOGIES 2247 AXCELIS TECHNOLOGIES 1522600 / PCB ASSY CREONICS SAM EX YSCAN / AXCELIS TECHNOLOGIES 2248 AXCELIS TECHNOLOGIES 17263590 / SOURCE LINER, W/ WATER COOLING / AXCELIS TECHNOLOGIES 2249 Axcelis Technologies 670381 CHAMBER STRIP BATCH 2250 Axcelis Technologies 687361 Rev. F LID CHAMBER SML The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 74 sales@semistarcorp.com
  • 15. 2251 AXCELIS TECHNOLOGIES 70‐7013924 / ES3 COMPUTER ASSY, ASHER EATON FUSION / AXCELIS  TECHNOLOGIES 2252 Axcelis Technologies 719241 CHUCK ASSY W/ PINS & FENCE HOLES 2253 Axcelis Technologies 719621 Rev. D LID INTEGRA ES CHAMBER 2254 Axcelis Technologies, 1222953, KIT MAG 8 END EFFECTOR WITH AEGIS CONTACT PADS 2255 AXCELIS XGT310‐30‐1A‐X446 / INTERGRA VALVE LOAD LOCK SMC / AXCELIS 2256 AXIC Benchmark IsoLok ICP Plasma System w AE Dressler Cesar RF Power Generators 2257 AXIC HF‐8 PLASMA SYSTEM 2258 AXI‐IP6‐2120 / CONTROLLER JVX 6200 / JVC 2259 AXIOTRON 8X8 / CSM VIS‐UV 115‐230VAC 50/60HZ / CARL ZEISS 2260 Azimuth ACE 400‐WB Channel Emulator w/ 14 day warranty. 2261 Azores 5200/6700 Stepper Lens assembly *used/working*  Great deal! 2262 AZURE‐200,1175144 / CLASS‐4 LASER COHERENT VERDI‐3W LASER POWER SUPPLY / AMAT 2263 B & G ENTERPRISES 01‐100‐01 USED MULTI CONTROLLER 0110001 2264 Bachur & Associates Model: LS‐150‐5C2 NUV Exposure System 2265 Baker 6047100 SS Glove Box (480V) 2266 Bakma 38" Chamber PECVD for DLC Thin Film Deposition Diamond Like Coating 5KW 2267 Balston 75‐78 Nitrogen Generation System4 2268 Balzers 760 Box Coater. Looking for a swift sale, please make offer, Motivated 2269 Balzers BAI 730 PVD Coating machines 2270 Balzers BAK 450 PVD Sputtering System 2271 Balzers Bell Jar System. Looking for a swift sale, please make offer, Motivated 2272 Balzers Sputter System. Looking for a swift sale, please make offer, Motivated 2273 Balzers Unaxis LLS 502 Load Block Metal Film PVD Sputtering System  2274 Baron Blakeslee DSS‐3.2 Duo Solvent Cleaner degreaser kyzen solstice 2275 Baron Blakeslee MLR‐120LE‐DS/ATH‐35 SPL Bi‐solvent Vapor Degreaser4 2276 BATCHTOP 8 PE/RIE MF/SYSTEM VII, BATCHTOP, SINGLE WAFER ETC STATION/PLASMA‐TH 2277 Batemen Bantam 4748‐B Ironworker Machine Steel Fabrication with tooling 2278 Baublys 20W Control Laser 9415501204 2279 BC Technology BC‐HU‐09‐12 Laminar Flow Horizontal Airflow Hood 2280 BC Technology BC‐HU‐09‐12 Laminar Flow Horizontal Airflow Hood 2281 Beckman Coulter Z2 Cell and Particle Counter with PC Option 2282 BECO 0010‐13713 HV MODULE, PLASMA SPRAYED CESC, DPS 200M 2283 Bede BedeMetrix Diffractometer Goniometer 300mm Sample Stage Assembly As‐Is 2284 Bede QC2A Diffractometer Parts/Repair 2285 Bede Scientific QC‐2A X‐Ray Diffractometer The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 75 sales@semistarcorp.com
  • 16. 2286 Bekaert Magnetron Rotary Feedthrough Drive Assembly 2287 Bekaert Magnetron Rotary Feedthrough Drives NEW UNITS!! 2288 BENCHMARK #GEN II ATMOSPHERIC SEAM WELDER/ SEALER ‐ SM‐8000 2289 Benchmark Gen II Generation 2 Atmospheric Enclosure Seam Sealer & Welder 2290 BENCHMARK GENII ATMOSPHERIC SEAM WELDER/ SEALER 2291 Benchmark Phase 3 Linear Weld Head System Hermetic Sealer 2292 BERKELEY PROCESS CONTROL INC TS‐4000‐V.V2 / TS‐4000‐V KIT,SERVICE MONITOR,EVC 2293 Berkeley Process Controls MWT‐8‐MNET Machineworks Machine controller 2294 Beta Squared Lithography Inc. Micralign Projection Mask Aligner 2295 BETTCHER PROCESS SERVICES A60RD 2296 BETTCHER PROCESS SERVICES A72RS WET BENCH 2297 Bi‐Level Tilt Conveyor, Bi‐Level Transport System Conveyor, Multi‐Level Conveyor 2298 Bio‐Rad Microscope 2299 BIRD ELECTRONIC CORP 8890‐300SC13 / TERMALINE COAXIAL RESISTOR WATTS 2500 OHME 2300 BKL Engineering BKL.012.00004 ASML Service Crane, Hoisting Tool, FEI, 424225 2301 BLUE M 1100 DEGREE INERT GAS OVEN / FURNACE MDL 20" X 20" X 24" ID IGF‐7780F‐3 2302 Blue M 166 B/O Large Batch Oven 2303 Blue M 8850D‐1 Box Furnace 2304 BLUE M AC‐7702TDB‐1 AIR‐COOLED HUMIDITY CHAMBER 2305 Blue M CC‐04‐I‐P‐C Mechanical Convection Clean Room Oven9 2306 Blue M CC‐05‐S‐T‐G‐HP Mechanical Convection Oven7 2307 BLUE M CW‐6680‐F‐MP350 ULTRA‐TEMP HIGH TEMPERATURE OVEN3 2308 Blue M DCA‐206C Inert Gas Oven 2309 Blue M DCC 1406 G Large Clean Room Oven5 2310 BLUE M DCC 256 Clean Room Oven 2311 Blue M DCC‐206C Clean Room Oven Cleanroom 2312 Blue M DCC‐256‐E‐MP750 Stainless Steel Clean Room Oven6 2313 Blue M DCC‐256‐E‐MP750 Stainless Steel Clean Room Oven8 2314 BLUE M DCC‐256‐G‐F4 Cleanroom oven1 2315 Blue M DCI‐206‐E‐MP550 Temperature Chamber () 2316 BLUE M ELECCTRIC OVEN DCW‐146‐C‐MP550 SN; DC9148 2317 BLUE M HIGH TEMP OVEN, HR‐441FX, 3DEG C ABOVE TO +150DEG C 2318 BLUE M LINDBERG STF 55666C SINGLE‐TUBE FURNACE 2319 Blue‐M DC‐1406G 24 cu. ft. Mechanical Convection Oven 343°C 48"W x 24"D x 36"H 2320 Blue‐M DC‐246‐F‐ST350 Industrial Batch Oven 24 Cubic Foot (316°C/600°F) DC‐246F 2321 Blue‐M DCC‐336‐B‐MP550 Inert Gas Clean Room Oven 2322 Blue‐M STK‐05W‐G‐MP550/STK05 2x 5.7 cu. ft. Stacked Oven (DC‐256 Drying Ovens) 2323 boc edwards  dual boc edwards 10kw supersource single crucible UHV electron beam guns MBE The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 76 sales@semistarcorp.com
  • 17. 2324 boc edwards  dual boc edwards 10kw supersource single crucible UHV electron beam guns MBE 2325 BOC EDWARDS A726‐01‐906 / DRY VACUUM PUMP XDS10 / BOC EDWARDS 2326 BOC Edwards ChemFill 1210 Chemical Delivery Module FSI CFCF12‐A0156 Booster 2327 BOC Edwards ChemFill 1533SS Chemical Delivery System 2328 BOC Edwards Dry Vacuum Pump iH1000 Refurbished 2329 BOC Edwards Dry Vacuum Pump iH1800 USED 2330 BOC Edwards Dry Vacuum Pump iL600N AS IS‐ WIP1610 2331 BOC Edwards Dry Vacuum Pump iL70N Tested, Working Good. 2332 BOC Edwards Dry Vacuum Pump iQDP80 AS IS 2333 BOC Edwards Dry Vacuum Pump iQDP80 Rebuilt 2334 BOC Edwards Dry Vacuum Pump iQDP80 Rebuilt 2335 BOC Edwards Dry Vacuum Pump iQDP80 Rebuilt 2336 BOC Edwards Dry Vacuum Pump iQDP80 Rebuilt 2337 BOC Edwards Dry Vacuum Pump iQDP80 with QMB250 Rebuilt 2338 BOC Edwards Dry Vacuum Pump iQDP80 with QMB250 Rebuilt 2339 BOC Edwards Dry Vacuum Pump iQDP80 with QMB250 Rebuilt ‐ WIP 1935 2340 BOC Edwards Dry Vacuum Pump QDP40 Rebuilt 2341 BOC Edwards Dry Vacuum Pump QDP80 Rebuilt 2342 BOC Edwards Dry Vacuum Pump QDP80 with QMB250 Rebuilt 2343 BOC Edwards Dry Vacuum Pump QDP80 with QMB250 Rebuilt 2344 BOC Edwards Dry Vacuum Pump QDP80 with QMB250 Rebuilt 2345 BOC Edwards Dry Vacuum Pump QDP80 with QMB500 Rebuilt 2346 BOC Edwards GVSP30 (GVSP‐30) Vacuum Scroll Pump A710‐04‐909 Single Phase 2347 BOC Edwards Helios 2 Gas Abatement Scrubber 2348 BOC Edwards IBC Dispense Module 0190‐01599; BRAND NEW!!! 2349 BOC edwards IPX 500 dry vacuum pump 10^‐7 torr uhv ‐ mks hps varian lesker mdc 2350 BOC Edwards Process Wet Scrubber Model W‐75 Exhaust Gas Abatement Gradient 2351 BOC Edwards SCU‐1500 Turbomolecular Pump Control Unit PT59Z0Z00 2352 BOC Edwards SCU‐XH2603C STP Control Unit Turbomolecular Pump Control Unit 2353 BOC Edwards STP Control Unit SCU‐1303CV3 Turbomolecular Control Unit 2354 BOC Edwards STP‐XH2603C Turbomolecular Pump Control Unit 2355 BOC Edwards TCU 40/80 w/ LON Chiller Heat Exchanger W95100008 AMAT 2356 Boc Edwards TCU 40/80 W/ RS485 W9500000 Chiller Heat Exchanger 2357 boc edwards temescal simba 2 electron beam gun power supply ‐10kv 1.5a thin film 2358 BOC EDWARDS TEMPEST NRB851000 2359 BOC EDWARDS TPU‐S WRU‐S 2360 BOC Edwards W‐75 Wet Scrubber for Exhaust Gas Abatement 2361 BOC EDWARDS XDS 35 2362 BOC EDWARDS XDS10 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 77 sales@semistarcorp.com
  • 18. 2363 BOC EDWARDS XDS10 2364 BOC EDWARDS XDS5 DRY SCROLL VACUUM PUMP 200/380/415/460V SET TO HIGH VOLTS 2365 BOC Edwards, QMB250 Blower Booster, AS ‐ IS, Lot of 4 units 2366 BOC Temescal Simba 2 Electron Beam Power supplies with Extras 2367 Bohlin Instruments Gemini 200 Rheological Characterization System 2368 Bokar Reflow Oven X‐Reflow‐306/S 2369 Bold Tech. 940‐2201 Water Recirculating System 2370 BPS 3ft BPS FA36SST Stainless Steel Wet Bench 2371 BPS 3ft BPS FA36SST Stainless Steel Wet Bench 2372 BRADY MGL Label and Sign Maker 3, Rated: 100‐240V~, 50/60Hz 2373 BRANSON / IPC 4000 REACTOR CENTER PLASMA CONTROLLER 4150/2, #1120239JFJ USED 2374 BRANSON 2005 PLASMA ETCHER/ASHER 2375 Branson 201‐TPX 6.5" x 6.5" Tooling Platen Thermal Processor. Tested! 2376 Branson B452R Ultrasonic Vapor Degreaser Cleaning System1 2377 Branson BTC‐200 Ultrasonic Vapor Degreaser with Neslab Merlin M75 Chiller 2378 Branson IPC L3200 Plasma Asher / Photoresist Stripper For 8" Wafer Cassette 6197 2379 Branson IPC Plasma Etching Asher System PM11220 Reactor Center 2000C Controller 2380 BRANSON IPC REACTOR CENTER PM‐11020 DIONEX PM921C PM119 500 WATT CONTROLLER 2381 Branson IPC S2000 Plasma Asher System Complete w/ Vacuum Pump ++ 2382 BRANSON L3200 PHOTORESIST STRIPPER PLASMA ASHER 2383 BRANSON L3200SS CASSETTE TO CASSETTE ASHER 2384 Branson Ultrasonic Solvent degreaser 2385 Branson/IPC 4055 Plasma Surface Treatment system 2386 Breuckmann Aicon Smartscan 3D‐HE Blue 4Mpix 2387 Brewer Science 10 Intelligent Hot Plate Spinner Photoresist Coat Spinner USA 2388 Brewer Science CEE 100 Hotplate/Spinner 2389 Brook Hermos Transponder Reader Kit FL 4,5 (ASCII) 013087‐195‐25 Including Cable 2390 BROOKHAVEN INSTRUMENTS LOCKHEED SANDERS1000 D‐V / BEAM CURRENT INTEGRATOR 2391 Brooks 001‐7600‐02 VacuTran 5 VTR5 Transfer Robot Drive 2392 BROOKS 001‐7600‐07 VacuTran 5 MTR5 Transfer Robot Drive , USED ITEM 2393 Brooks 002‐7200‐323 Load Port, 200mm *, 90 Day Warranty* 2394 Brooks 110884 Arm, ATR7, Rear Tube Map, Omron Amp, C3VCTR, Novellus, 321211 2395 BROOKS 300mm LOAD PORT Vision Model Number: 162770‐32/G Untested!!! *SEM6 2396 Brooks 6‐0002‐0408‐SP Prealigner PRE‐301BU‐CE‐S2 KLA‐Tencor 0029191‐000 Used The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 78 sales@semistarcorp.com
  • 19. 2397 Brooks 9704‐0955 Robot, 001‐8672‐01 Controller, Vacuum Transfer Module, 452559 2398 Brooks 9704‐0955 Robot, w/ 001‐8672‐01 Controller, Wafer Transfer, Cable, 452558 2399 BROOKS AUTOMATION  6‐0002‐0943‐SP / ESC‐204T‐S293 ROBOT CONTROLLER / BROOKS  AUTOMATION PRI EQUIPE 2400 Brooks Automation 001‐1070‐02 Rev. D12 Z‐Bot Driver Board Controller 2401 Brooks Automation 001‐1951‐05 ‐ Assy PCB VT5 Main ‐ Lam 810‐550099‐001 Rev. E1 2402 BROOKS AUTOMATION 001‐6300‐03 / WAFER TRANSFER ROBOT/ BROOKS AUTOMATION 2403 BROOKS AUTOMATION 001‐7600‐02 / BROOKS AUTOMATION MTR5 VACUTRAN 5 TRANSFER ROBOT  DRIVE / BROOKS 2404 Brooks Automation 001‐7600‐07 MTR5 Transfer Robot Driver 2405 Brooks Automation 001‐7600‐07 Transfer 2406 BROOKS Automation 001‐7600‐10 VacuTran 5 MTR5 Transfer Robot Drive 2407 BROOKS Automation 001‐7600‐10 VacuTran 5 MTR5 Transfer Robot Drive 2408 BROOKS AUTOMATION 002‐3752‐01 / PCB / BROOKS AUTOMATION INC BROOKS BROOKS  AUTOMATION 002‐3752‐01  2409 BROOKS AUTOMATION 002‐4485‐02 / PCB BROOKS AUTOMATION 002‐4484‐03 BOARD CPU I386 /  BROOKS AUTOMATION INC 2410 BROOKS AUTOMATION 002‐7090‐04 / WAFER TRANSFER HANDLING ROBOT / BROOKS  AUTOMATION II 2411 Brooks Automation 002‐7090‐08 Atmospheric Wafer Robot ATR‐7 AcuTran 7 Working 2412 Brooks Automation 002‐7090‐10 AquaTran 7 Robot, Novellus, 424262 2413 Brooks Automation 002‐7090‐10, AquaTran 7 Wafer Transfer Robot, ATRY. 416853 2414 Brooks Automation 002‐7090‐10, AquaTran 7 Wafer Transfer Robot, ATRY. 417017 2415 Brooks Automation 002‐7200‐21 200mm Wafer Load Port FEI CLM‐3D  2416 BROOKS AUTOMATION 002‐7388‐01 / PCB ASSY / ZMISC 2417 Brooks Automation 002‐7391‐08 200mm Wafer Prealigner FEI CLM‐3D  2418 Brooks Automation 002‐7391‐33 Wafer Prealigner CHE  2419 BROOKS AUTOMATION 002‐7502‐02 / PCB WITH ACRYLIC RAIL / BROOKS AUTOMATION INC 2420 Brooks Automation 002‐7800‐05 SMIF Express 200mm Wafer Load Port FEI CLM‐3D Used 2421 Brooks Automation 002‐8500‐41 Robot Transfer Module Chamber W/ Cassette Module 2422 Brooks Automation 002‐9400‐04 Robot Controller Series 8 FEI CLM‐3D  2423 Brooks Automation 003‐1600‐28 2424 Brooks Automation 003‐9010‐03 Vacuum Cassette Elevator/robot 2425 Brooks Automation 003‐9010‐03 Vacuum Cassette Elevator/robot 2426 BROOKS AUTOMATION 003‐9200‐64 / FRONT END LOADER ASSY, AXCELIS SUMMIT 200 RTP/BROOKS  AUTOMATION 2427 Brooks Automation 013077‐079‐20 300mm Load Port FIXLOAD 25  The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 79 sales@semistarcorp.com
  • 20. 2428 BROOKS AUTOMATION 013096‐092‐08 / LOADER,FOUP,6M,TSB SPECIAL REV E / BROOKS  AUTOMATION 2429 BROOKS AUTOMATION 013501‐027 / PCB, PARALLEL INTERFACE / BROOKS AUTOMATION INC 2430 BROOKS AUTOMATION 013501‐027‐25 / ERGOSPEED PARALLEL INTERFACE / BROOKS AUTOMATION  INC 2431 Brooks Automation 017‐0353‐01 Reliance Robot w/Series 8 Controller+Pre‐Aligner 2432 Brooks Automation 1‐0125 Wafer Handling Robot 2433 Brooks Automation 1‐0125 Wafer Handling Robot KLA‐Tencor eS20XP  2434 Brooks Automation 104426 Wafer Handling Robot 2435 Brooks Automation 10600‐10 200mm Wafer Prealigner Chamber  2436 Brooks Automation 10600‐10 Prealigner 200mm Chamber  2437 Brooks Automation 121668 Wafer Transfer Robot ATM AS IS 2438 BROOKS AUTOMATION 133673 / CU,DRIVE/ARM,ATM ROBOT,ATR8 RELIANCE,IMR / BROOKS  AUTOMATION II 2439 BROOKS AUTOMATION 133673R / ROBOT, ATR8 DRIVE ARM MKS / BROOKS AUTOMATION INC 2440 Brooks Automation 138149 Atmospheric Wafer Handling/Transfer Robot Robotic Arm 2441 BROOKS AUTOMATION 138499/WAFER ROBOT CU,DRIVE/ARM,ATM ROBOT, ATR8  RELIANCE/BROOKS AUTOMATION INC 2442 Brooks Automation 138502 Wafer Handling Robot Reliance ATR8  2443 Brooks Automation 151865 Wafer Handling Robot Reliance ATR8 Refurbished 2444 Brooks Automation 162770‐01 300mm Wafer Load Port VISION Working Spare 2445 Brooks Automation 191922 Fusion Controller Hardware W/OS 187496 187275 2446 Brooks Automation 194600‐FRU End Effector 450 mm Vacuum Wafer Transfer NEW (5700 2447 Brooks Automation 450 Vision 176334‐04 Load Port Module w/ FOUP 450mm Wafer 5702 2448 Brooks Automation 450 Vision 176334‐04 Load Port Module w/ FOUP 450mm Wafer 5703 2449 BROOKS AUTOMATION 70014847 / KOLLMORGEN AMP Y (SE10200) / BROOKS AUTOMATION INC 2450 BROOKS AUTOMATION 70031329 / I/O SIDE 1: 1 LH WHITE OAK / BROOKS AUTOMATION 2451 BROOKS AUTOMATION 70031330/ I /O SIDE 1 : 1 RH WHITE OAK / BROOKS AUTOMATION INC 2452 BROOKS AUTOMATION 70056582 / GRIPPER ACTIVE CAPTURE,E99N / BROOKS AUTOMATION 2453 Brooks Automation AquaTran 7/AcuTran 7, TT1ENR2‐1‐TVS‐ES‐BROOKS8 Pendant, 424260 2454 BROOKS AUTOMATION ATR7, 002‐7090‐10 WAFER TRANSFER ROBOT KIT The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 80 sales@semistarcorp.com
  • 21. 2455 BROOKS AUTOMATION BM30889 / ASSY ELEC PANEL TT ETHER / BROOKS AUTOMATION II 2456 BROOKS AUTOMATION BM30891 / QUEUE AEROTRAK ENET REV F/ BROOKS AUTOMATION INC 2457 BROOKS AUTOMATION BM31215 / ASSY NODE PACK HXFR ENET / BROOKS AUTOMATION 2458 BROOKS AUTOMATION BM31804 / NODE ‐ FIRE, 24 VDC POWER SUPPLY / BROOKS AUTOMATION 2459 Brooks Automation FIXLOAD 25 300mm Silicon Wafer Load Port  2460 BROOKS AUTOMATION INC 001‐0000‐27 / Z‐BOT WAFER INDEXER  2461 BROOKS AUTOMATION INC 001‐1570‐97 / ARMS BROOKS ROBOT FROG LEGS/ BROOKS  AUTOMATION INC 2462 BROOKS AUTOMATION INC 152465 / BA ROBOT SIN T1‐1630+1612 T2 ‐1536+1543 COS T1 / BROOKS  AUTOMATION INC 2463 BROOKS AUTOMATION INC 154523 / ROBOT RELIANCE DRIVE ARM ‐ 8‐04 NOVELLUS27‐35305‐00  BROOKS AUTOMATION 2464 BROOKS AUTOMATION M2 MARATHON SAFETY NODE P/N ROBOT CONTROLLER 150166 2465 Brooks Automation Main Turret Robot MTR‐5? MultiTran PN: 001‐1500‐61 2466 BROOKS AUTOMATION ORBITRAN MATRIX PLASMA ASHER WAFER MFG ARM ROBOT ‐ LOT OF 3 2467 Brooks Automation PRE‐300 300 mm PRE‐3022 Silicon Wafer Prealigner 2468 Brooks Automation Reliance ATR Wafer Robot (Part Number: 017‐0266‐01) 2469 Brooks Automation Reliance Wafer Robot (Part Number: 002‐5177‐01) 2470 Brooks Automation Series 8 Controller (Part Number: 105946) 2471 Brooks Automation Series 8 Reliance ATR8/MagnaTran7 PN: 108549 Robot Controller 2472 Brooks Automation SLR 200 M Series Robot 2473 Brooks Automation TEC‐300 Controller SMC‐S PRI FEI CLM‐3D  2474 Brooks Automation VCE‐4 Vacuum Cassette Elevator Z‐Drive Unit 001‐9010‐03 *MINT* 2475 Brooks Automation Vision 162770‐24 Wafer Load Port 2476 Brooks Automation Wafer Transfer Robot 143518 2477 Brooks Automation Zbot 1 2478 Brooks Automation, 001‐2300‐45, Buffer Robot Transfer Cluster Chamber, 416292 2479 Brooks Fixload 25 Load Port *, 90 day warranty* 2480 Brooks Fixload 25 Load Port *Used Workng, 90 Day Warranty* 2481 Brooks Irvine Optical RUDY Board PCB 015‐0920 2482 Brooks MAG 7 108000‐37 Wafer Robot / Brooks Automation MagnaTran 7 108000‐37 2483 BROOKS MAG 7 LEAPFROG ROBOT ARMSET 2484 BROOKS P15836 / LINEAR TRACK SYSTEM, 300MM 20.5 6‐0002‐0196‐SP LTRA 030‐SB‐RUD /BROOKS 2485 Brooks Polycold 2XCL Closed Loop Gas Chiller Cryogenic Refrigeration 2486 Brooks PRI 002‐5870‐06 Robot Electronic Module Controller, Novellus, 421594 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 81 sales@semistarcorp.com
  • 22. 2487 BROOKS PRI AUTOMATION PB33011LO1 ROBOT SYSTEM CONTROLLER SMI 13986 2488 Brooks PRI Equipe Robot ATM405‐1‐S 2489 BROOKS RAZOR 5 AXIS WAFER TRANSFER ROBOT with AEF end effector and controller 2490 Brooks‐CTI Cryogenics 9600 Compressor3 2491 Brooks‐CTI Cryogenics 9600 Cryo Compressor1 2492 Brooks‐PRI Automation 152465 1000 RELIANCE WAFER HANDLING ROBOT 2493 Bruce Technologies / BTU / BTI / BDF 41 Diffusion Furnace /Install available 2494 Bruce Technologies / BTU / BTI / BDF 41 Diffusion Furnace 150mm wafer processing 2495 Bruce Technology / BTI BDF 41 Furnace with Automation Elevator Loaders 2496 Bruker AXS Nonius X8 Proteum Pt 135 X‐Ray Diffractometer System 2497 Bruker AXS X8 PROTEUM PT135 X‐Ray Diffractometer Assembly P/N 843‐017100 2498 Bruker Contour GT‐K Profilometer 2499 Bruker Contour GTX Profilometer / Mint ‐ Demo Condition.. Warranty 2500 Bruker Nano Icon ScanAsyst Atomic Force Microscope 840‐002‐372 481‐016‐100 2501 Bruker Nano Inc. Electron Beam Optics ASSY 23‐169096‐000 2502 Bruker Nano Inc. Motor Gearbox ASSY 60‐023168‐000 2503 Bruker Oxford Spectrospin BLAXH100/50P 200‐400Mhz RF Unit 2504 Bruker Oxford Spectrospin DL620V002SX‐88 unit 2505 Bruker Oxford Spectrospin HPPR Preamplifier w/ Z002510 Z002665 Z002511 modules 2506 Bruker Oxford Spectrospin SCB13R 16 Bit BSMS ECL03 with HRDAC ‐ 703 module 2507 Bruker Veeco Wafer Inspection Chuck 17 3/4in 450mm Wafer Processing NEW (5318) 2508 BSI Wafer 2509 BTU / RTC Solar Furnace PV‐609 S/N: RTC2506090603 BTU HCPA‐4ir 2510 BTU 125N Furnace. Just removed from use. Looking for swift sale, pls make offer 2511 BTU 2002 BTU MODEL VIP98N PC CONTROLLED 7 ZONE BELT FURNACE / REFLOW OVEN 2512 BTU 2006 BTU PYRAMAX 98N REFLOW OVEN 2513 BTU 448 KVA BTU VIP98 Reflow Oven 7 zone 300C (572F) 29 sqft chain surface 22" wide 2514 BTU 600 Gas Saturator for H2 / Hydrogen 2515 BTU Diffuzor 60"l x44 Dia Retort Diffision Funace 3 zone Tube ☆Great Shape☆ 2516 BTU International PYRAMAX 150N Z12 Nitrogen Reflow Oven 18" Belt Furnace 2517 BTU International Pyramax 75A Reflow Oven 2518 BTU INTERNATIONAL TFF51‐4‐36N26GT FAST FIRE 1050C NITROGEN OR AIR BELT FURNACE 2519 BTU PARAGON P98 Reflow Belt Furnace 2520 BTU Pyramax 150A Reflow Oven ‐ 10 Zones ‐ Edge Rail Only ‐ 480V The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 82 sales@semistarcorp.com
  • 23. 2521 BTU Pyramax 98A Lead Free Reflow Oven (180201) 2522 BTU Pyramax 98A SMT Reflow Oven, Excellent Condition, Edge Rail and Mesh 2523 BTU VIP98N Convection Reflow Furnace 2524 BUDZAR ICE CHILLER MODEL AC‐05‐FCB‐24‐00‐003 460v 2525 BUDZAR ICE CHILLER MODEL AC‐05‐FCB‐24‐00‐003 460v With Thermal Care RA Series 2526 BUDZAR ICE CHILLER MODEL AC‐05‐FCB‐24‐00‐003 460v With Thermal Care RA Series 2527 BUDZAR ICE PORTABLE PROCEESS CHILLER MODEL WC‐15‐FCB‐36‐4‐001 460v 2528 Budzar Industries ICE Portable Process Chiller 2529 Buehler Ecomet 250 Polisher Grinder 2530 Buehler Ecomet 3 Variable Speed Grinder Polisher9 2531 Buehler Ecomet 3‐Station Variable Speed Polisher Model 48‐8183 2532 Buehler Ecomet 4 Variable Speed Grinder Polisher with Automet 2 Power Head 2533 Buehler Ecomet 6 Semiautomatic Polisher Grinder with Automet 2 Power Head 2534 BUEHLER ECOMET‐4 VARIABLE SPEED POLISHER 49‐1775‐160 2535 BUEHLER SimpliMet 2000 Auto Mounting Press & STRUERS TegraPol‐35 Grinder Polish 2536 Burleigh Exfo WA7100 Multi Wavelength Meter 1270 to 1680 nm6 2537 BX80‐070955‐14 / LM ARM CONTROLLER 2 / SINFONIA TECH CO LTD 2538 BYK Gardner 4725 Haze‐Gard Plus9 2539 Caltex Systems Microscope Model: AMS‐845xyz 2540 Calypso Hammerhead 2004 Calypso Hammerhead 2, 5' x 10' Table Size, 50 HP, 60k PSI, CNC Waterjet 2541 Cam/Alot Dispenser Head 642 2542 CAMALOT 3700 IN‐LINE DISPENSING SYSTEM SET 2543 Camalot 635SD Pump Head Valve Cam/alot 635 Speedline FXD Xyflex Pro SMT PCB 2544 Camalot 642 Pump Head Valve Auger Camelot Speedline Dispenser Underfill PCB SMT 2545 Camalot 642 Pump Head Valve Auger Camelot Speedline Dispenser Underfill PCB SMT 2546 Camalot 642 Pump Head Valve Auger Camelot Speedline Dispenser Underfill PCB SMT 2547 Camalot 680 Pump Head Valve Camelot Speedline Dispenser PCB Xyflexpro + FXD 2548 Camalot Cartridge and Tube Assembly Feed FXD 8000 SMT PCB 680SD 2549 CAMALOT DISPENSER FEEDERS SET OF ONE 1130 AND ONE 1230 WITH LOADER AND UNLOADER 2550 Camalot Dispenser Pump. Heated Line DU Pump # 620‐6 2551 Camalot FXD 8000‐1 2010 Inline glue / solder paste dispenser 635SD, lift table The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 83 sales@semistarcorp.com
  • 24. 2552 Camalot FXD Adhesive/Glue Dispenser SMT/PCB Assembly ‐ Speedline ‐ used 2553 Camalot FXD Dispenser Underfill Glue Adhesive Solder PCB FX‐D Dot Line 8000 2554 CAMALOT PRO PLUS Z ASSEMBLY,HI CAP‐II P/N 1018578 2555 Camalot PZ Assembly PNZ 47070 Dispenser Xyflexpro 50947 Xyflexpro+ Dispenser 2556 Camalot Smart Stream Dispense Jet System Head Pump Xyflexpro + FXD SmartSteam 2557 Camalot Speedline 3800 Inline Dispenser, touch probe, vision, 635 valve #30020 2558 CAMALOT VORTEXX 3950 IN‐LINE DISPENSING SYSTEM SET 2559 Camalot Xyflexpro+ 7200 Underfill Glue Dispenser Speedline Xyflex Pro SMT PCB 2560 Camalot Xyflexpro+ 7200 Underfill Glue Dispenser Speedline Xyflex Pro SMT PCB 2561 Camalot Z Head Lead Screw Coupling for FXD 8000 SMT PCB 680SD Leadscew Z‐Head 2562 CAMALOT Z‐AXIS ASSY ACTUATOR FOR PRODIGY P/N 1019499 2563 CAMALOT Z‐AXIS ASSY,HI‐CAP, DIRECT DRIVE HD‐Z AXIS REFURBISHED P/N 47071R 2564 CAMBRIDGE INSTRUMENTS S100 SCANNING ELECTRON MICROSCOPE S/N 1141_FOR PARTS_$$$!_ 2565 CAMBRIDGE INSTRUMENTS S100 SCANNING ELECTRON MICROSCOPE S/N 1141_FOR PARTS_$$$!_ 2566 Cambridge Nanotech Model fiji F200 2567 Cammax Precema PPS60 Pulse Heat Controller 2568 Cammax Precima PPS60 Pulse Heat System Controller (2) Heated Workholders 2569 Cammax Precima PPS60 Pulse Heat System Controller (2) Heated Workholders 2570 Camtek Falcon PD 200mm Wafer Inspection System with Basler A201b Camera ‐ As Is 2571 CANNON DCH37P101,019617‐0021 itt cannon 2572 CANON 6191‐0724 /ASSY PULSE MEASUREMENT BOARD/ CANON 2573 CANON 9103400374 / FAST PULSE CONVERTER /CANON 2574 Canon Anelva 1015i Sputtering System ‐ 6" ‐Plus Huge Quantity of Spares 2575 CANON BD4‐3766 / CANON I3 1ST INPUT LENS WHOLE ASSEMBLY / CANON 2576 CANON BD4‐7497 / CHUCK REMOVAL/CLEANING TOOL I 4 ‐ I 5 / CANON 2577 CANON BG4‐2035 / IPIF‐III/ CANON USA INC 2578 CANON BG4‐2102 / TTL‐AF CPU / CANON USA INC 2579 CANON BG4‐3835 / CPU20 II / CANON USA INC 2580 CANON BG4‐4078 / AF CPU / CANON USA INC 2581 CANON BG4‐5975‐R00 / I1/I3 EXPOSURE LAMP POWER S. SB‐15202AP / CANON The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 84 sales@semistarcorp.com
  • 25. 2582 CANON BG4‐6463 / STAGE CD PCB, BH8‐1752‐02, BG8‐2667 CONTROLLER ASSY / CANON 2583 CANON BG4‐6728 / INTERMEDIATE LIBRARY UNIT 1, RS PCB / CANON 2584 CANON BG4‐6732 / RETICLE CHANGE ELEVATOR W/PCB CANON BG4‐8193 (BH8‐1767‐01)(BGB‐2674)  /CANON 2585 CANON BG4‐6746 /RETICLE CHANGER CONTROL BOX, WF/RC IF PCB, P2 BACK PLANE PCB/ CANON 2586 CANON BG4‐6943 / ALS CD PCB ASSY / CANON 2587 CANON BG5‐0855‐000 / ROBOT, ASSY, SCH THETA, 300MM / CANON 2588 Canon BG9‐1827‐000, IMF CPU Board, PCB, BG9‐1827, BG8‐2073, BH8‐0210‐01. 418403 2589 Canon BG9‐1831‐000, Board XY Stage I/F X, BG9‐1831, PCB, BH8‐0214‐01. 418454 2590 Canon BG9‐1831‐000, Board XY Stage I/F X, BG9‐1831, PCB, BH8‐0214‐01. 418455 2591 Canon BG9‐1842‐000, Board IME I/F, PCB, BG9‐1842, BH8‐0222‐01. 418404 2592 Canon BG9‐1842‐000, Board IME I/F, PCB, BG9‐1842, BH8‐0222‐01. 418405 2593 CANON BG94‐2160 / RC IF PCB / CANON USA INC 2594 CANON BG94‐3500 / ADP‐11 / CANON USA INC 2595 CANON BG94‐3502 /ACC‐1/ CANON 2596 CANON BG94‐3715 /TILT WZ I/F PCB/ CANON USA INC 2597 CANON BG94‐3718 / XY STAGE IF PCB / CANON 2598 CANON BG94‐3719 / IMF‐IF PCB / CANON 2599 CANON BG94‐3727 / X‐Y CPU PCB / CANON 2600 CANON BG94‐3730 / RC CPU PCB / CANON 2601 CANON BH8‐1300‐01 / CCD OPTF CAP/ CANON 2602 Canon EX3 / EX4 Stepper Beam Delivery System EX Used 2603 CANON EX‐5 STAGE / CANON STEPPER STAGE GETSPARES ROCKS WHEN NICOLE STOCKS 2604 CANON FPA‐1550MIV S / CANON STEPPER RETICLE LOADER FPA‐2000I1 / CANON 2605 CANON FPA‐2000 i1 WAFER STEPPER, 150 MM, CRATED 2606 CANON FPA6000 ES6A / FRONT RELAY UNIT STEPPER / CANON 2607 CANON FPA‐6000ES6A / RETICLE LIBRARY WITH RETICLE ROBOT HANDLER / CANON 2608 CANON I3 / MASKING BLADE UNIT / CANON 2609 CANON PG‐RR‐B0D1 / ATM WAFER TRANSFER ROBOT / CANON 2610 Canon PLA‐501 FA Mask Aligner w/ LOTS OF EXTRAS POWERS ON UNABLE TO TEST READ 2611 Canon PLA‐521FA Parallel Light Mask Aligner 2612 CANON STEPPER LENS AND HOUSING ASSEMBLY 2613 Canon Stepper Lens Part Number KB‐02 BG4‐8886‐R00 2614 CANON Y60‐0941‐000 / WAFER SEND UNIT (TYPE 4, LEFTHAND FEED) Y60‐0941‐R00 / CANON 2615 Carbolite CR/220 Clean Room Oven4 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 85 sales@semistarcorp.com
  • 26. 2616 CARESTREAM Bruker In‐Vivo MS FX PRO X‐Ray Imaging System IS4000MM PRO 2617 Carl Zeiss Microscope 2618 Cascade / Alessi REL 3200 Probe Station, 6" 2619 Cascade Ai Alessi Remote Series 5000 Probe Station 2620 CASCADE ALESSI REL‐6100 2621 Cascade Alessi REL‐6100 Manual Wafer Prober / Optem Microscope / New Wave Laser 2622 Cascade Microtech 11000 High Precision Manual Wafer Prober8 2623 Cascade Microtech Auto Prober Parametric Series PS21 with Full 4 Month Warranty 2624 Cascade Microtech Form Factor MPS150 6" inch RF Prober **New** 1 YEAR Warranty 2625 Cascade Microtech probe card holder 2626 Cascade Microtech REL 4800 Manual 8 inch Prober MH DC Probes Mitutoyo MicroScope 2627 Cascade Microtech REL 6100 Manual 8 inch Prober MH DC & RF Probes Mitutoyo Scope 2628 Cascade Microtech REL‐4800 Manual Probe Station Heated Summit 12K Compatible 2629 Cascade Microtech REL‐6100 Manual Submicron Analytical Wafer Prober5 2630 Cascade Microtech RF‐1 Microwave prober 2631 Cascade Microtech RHM‐06 Probe Station with Olympus SZ60 Microscope 2632 Cascade Microtech Summit 11000 High Precision 200mm Manual Wafer Prober 2633 Cascade Microtech Summit 12000 REL 4800 6100 Dark Box Enclosure EMI Air Isolated 2634 Cascade Microtech Summit 12000 REL 4800 6100 Dark Box Enclosure University Disnt 2635 Cascade Microtech Summit 9000 Manual Analytical prober 2636 Cascade Microtech Summit 9000 Manual Analytical prober 2637 Cascade Microtech Wafer Chuck Prober Microscope Station Positioning Stage Base 2638 Cascade PS21 2639 Cascade PS21 2640 Castrol Tribol™ GR 215‐2 ‐ Low Friction, Anti‐wear, Clean room Grade Grease, 14 2641 CC09C‐P‐F‐HP / OVEN TEMP RANGE 250C TO 482F BOM# 455815‐1 / BLUE M 2642 CDE Resistivity Resprobe Model 178 2643 CDP‐050R0‐8F / CDP‐1/2/PUMP DIAPHRAGM PUMP / FURON 2644 CE10MHSK8YR entegris gatekeeper hydride gas purifier matheson nanochem NH3 ASH3 2645 CE440 /KLA COMPUTER, EV300/ KLA 2646 CEDARBERG Heavy Duty Rotary Phase Converter 8100‐006 2647 CEDARBERG Heavy Duty Rotary Phase Converter 8100‐007 2648 CEDARBERG Heavy Duty Rotary Phase Converter 8100‐008 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 86 sales@semistarcorp.com
  • 27. 2649 CEDB‐0011 / INDEXER BOARD ID ‐ A/B/C 2‐VC‐15252 / DNS DAI NIPPON SCREEN 2650 CEE Hot Plate 2651 CEE OPAL 78312559000 / CEE SYSTEM POWER SUPPLY / OPAL 2652 CEHC‐0011 / HEATER CONTROLLER BAKE UNIT DNS60A MAX 8 HP / DNS DAI NIPPON SCREEN 2653 CELERITY 115909 / MAGNIFLOW MOTOR, PUMP, CENTRIFUGAL BSM‐4.2‐30 / CELERITY 2654 CELERITY 115909 / MAGNIFLOW MOTOR, PUMP, CENTRIFUGAL BSM‐4.2‐30 / CELERITY 2655 CELERITY 387‐00006‐000 / PROBE, CONCEN, SOLIDS, ANALOG, 0.05‐50 / CELERITY 2656 Cencorp 1000 VR PCB Depanelizer Circuit High Volume Board In‐Line Router Robot 2657 Cencorp TR2100 PC Board Router SMT PCB Depaneler Top Spindle Saw PMJ TR1000 CNC 2658 Cencorp TR2100 Router 2659 Cencorp. 540 Series Printed Circuit Board PCB Profiler 2660 Centorr Vacuum Industries Furnace 2661 Centrotherm Clean Solutions Abatement System Model CT‐BW OH300K4, LAM 9400 2662 Ceramic Puck for Surfscan 64X0 End Effector Surf 6420 6400 339830 2663 CERAMIC TECH INC  620T0065‐03 / PADDLE ASM ENDEF RLR EDGEG WD / CERAMIC TECH INC  2664 CERB‐0016 2‐VC‐152 / TRANSPORT BOARD PCB 80A SLOT 2 AP‐1032C W/ EXCHANGE / DNS 2665 Cesar 1310 / CESAR 1310 RF GENERATOR / DRESSLER 2666 CHA 1000 Ebeam System 2667 CHA 600 Vacuum System 2668 CHA INDUSTRIES MARK 40 2669 CHA Industries SEC‐1000 Dual Thermal Evaporator 2670 CHA Industries SR‐10 Vacuum Deposition Chamber Power Supply 2671 CHA MARK 40 2672 CHA Mark 40 6 pocket Ebeam & Dual Thermal Evaporator / SR10 / CTI 10 /Excellent 2673 CHA SE‐600 High Vacuum E‐Beam Evaporation System 2674 CHA SE‐600‐RAP Single Pocket E‐Beam Evaporator 2675 CHA SEC‐600‐RAP E‐Beam Evaporator SR‐10 Power Supply Leybold WAU251/D60A Vacuum 2676 Chamber Wide Body PVD, Shell, 0040‐20195, Endura, IMP, 424163 2677 Chemwest 420503 Automated Slurry Filter Cabinet System for CMP Filtration 2678 Chemwest Systems Liquid Particle Bench with PMS Liquid Particle Sampler 420547 2679 Chiller Air‐cooled scroll high efficiency 74 Ton 2680 Chroma 58173 Wafer LED Chip Level Tester Prober Software, Probes, ESD PXI 52962 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 87 sales@semistarcorp.com
  • 28. 2681 Chroma, LED Chip Level Tester, Model‐58173, Manufactured Year 2014 2682 CI SYSTEMS, NTM 500‐D, 27‐258087‐00 2683 CIC photonics IRGAS epitorr FTIR detect impurities in semi gas down <10 ppb amat 2684 Cincinnati 2001 Cincinnati CL707 Laser  2685 Cincinnati Milacron Air cooled chiller MCA‐10A‐RC w/Remote Heat Exchanger,10 Ton  2686 Citizen Automatic Pick and Place Machine A480 feeders, nozzles, parts 2687 CKD PMC32 Robot Assemby w/Arm, CKD UPS‐1K 2688 CKD TEL VEC‐VF8‐X0001 2112‐000119‐11 / CKD SYSTEM VALVE CONT AND TUBE VACUUM / CKD TEL 2689 CKD VEC‐VH9‐X0329 Vacuum Pressure Proportional Control System 2690 CKD VP1000 Solder Paste Inspection PCB SMT Corporation PC Board Height Nice! 2691 Class 100 ISO 5 Modular Clean room 576 to 40K Sq feet ULPA MW Zander & Grid HEPA 2692 Class 1000 ISO 6 Modular Clean room 576 to 40K Sq feet ULPA MW Zander Grid HEPA 2693 Class A spectra physics newport solar simulator 91195A w/ 69920 68945 controller 2694 Clean Green Antifreeze / Chemical Evaporator 2695 Clean Room for sale Modular CleanRoom Class 100 to 100,000 / ISO 5 to ISO 8 2696 Clean Room Modular CleanRoom Class 10 to 100,000 / ISO4 to ISO9 2697 CLEANPART SET ANODIZED TYPE III WDO PLA 715‐032012‐116‐0002 2698 CLEANPART SET ANODIZED TYPE III WDO PLA 715‐032012‐116‐0005 2699 Cleanroom for sale clean room class 10 to100,000 / ISO 4 to ISO 9 2700 Cleanroom for sale clean room class 10 to100,000 / ISO4 to ISO9 2701 Cleanroom for sale hard wall clean room class 100 ‐100,000 / ISO 5 ‐ ISO 8 2702 Cleanroom for sale modular clean room class 100 ‐100,000 / ISO 5 ‐ ISO 8 2703 CMS PS‐700 3~6 Micron Silicon Wafer Laser Marking System ‐ Powers ON ‐ As Is 2704 CMT Automation Bare Board Destacker BS‐M‐HC 2705 Coherent  10w raydiance ultrafast femtosecond fiber laser CPA system coherent onefive 2706 COHERENT 1080980 /LASER POWER SUPPLY WITH LASER HEAD SET/ COHERENT LASERS 2707 Coherent 2010 Coherent Omnibeam 500 Fabrication, Lasers Ref # 8038953 2708 COHERENT LASER K2K 2709 Coherent VITESSE Laser Diode 5W POWER SUPPLY Rudolph Metapulse MP MPII MPIII 2710 Coherent VITESSE Laser Diode Set 5W Rudolph Metapulse MP MPII MPIII 2711 COHU 2122‐10000000 / COHU SOLID STATE CAMERA WITH EXCHANGE 2712 Cohu Delta Design Turbo‐Flex FLEX‐1010 2x8 Pick & Place IC Chip Test Handler 2713 Cole Parmer 77111‐77 Masterflex Digital Drive Modular Washdown 11‐321 rpm 230 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 88 sales@semistarcorp.com
  • 29. 2714 Collins Aerospace Macrolink Python Workstation P 700MHz Processor 256MB 2715 Columbia 0310 Shears Fabrication Ref # 8040039 2716 Comdel  CX‐2500 Comdel FP3305R1 RF Generator 2.5kW @ 3.39MHz Used Tested Working 2717 Comdel  CX‐2500 Comdel FP3305R1 RF Generator 3.39MHz New Surplus 2718 Comdel  CX‐2500S Comdel FP3323R1 RF Generator 13.56Mhz Tested  2719 Comdel CB5000 Novellus 27‐335415‐00 5000W High Frequency RF Power Supply Unit 3U 2720 Comdel CLF‐5000/400, RF Generator. CLF‐5000 Low Frequency  2721 Comdel CLX2500 RF Generator FF1336R3 0190‐09845, 1250 Watts *Used Tested Working 2722 Comdel CLX‐2500 RF Generator, AMAT 0190‐13837, 350 KHz‐LF, 480V, 3 Phase,  2723 Comdel CLX‐2500 RF Generator, AMAT 0190‐13837, 350 KHz‐LF, 480V, 3 Phase,  2724 COMDEL CPS1001 / COMDEL CPS 1001 / COMDEL 2725 Comdel CX1250AS Air Cooled RF Generator FP3217R2 CX1250S/A RF Power Supply 13.56 2726 Comdel CX‐5000S RF Generator CPS‐5000 Power Supply 13.56 MHZ (Tested Working) 2727 Comdel CX‐5000S/CX‐5000DC RF Generator/Power Supply 5kW, AMAT PN: 0190‐18052 2728 Comdel FP7526RB Rf Generator CB3500, 27.12, DNET, 480V 2729 Comdel Inc. Model CPS‐500AXM / 13.56 RF Power Source 2730 Commonwealth Scientific Ion Beam Miller Etcher, substrate mount tooling 2731 COMMONWEALTH SCIENTIFIC LOAD LOCKED ION MILLLING SYSTEM 2732 CompactPCI 6600‐CPCI07TNI4 Backplane Board PCB PICMG2.0 R3.0 NSR‐S620D Spare 2733 Complete Semitool 860 F/L Spin Rinse Dryer Rinser Unit w/ A110‐10‐0215 Rotor 2734 Complete Semitool 860 F/L Spin Rinse Dryer Rinser Unit w/ A182‐39MLC‐0215 Rotor 2735 Computer and Software Retrofit for PlasmaQuest RIE System Astex MKS 2736 CONCEPTRONIC HVC 102 Conveyor belt oven solder soldering circuit board assembly 2737 CONCEPTRONIC HVN 102 Conveyor belt OVEN solder soldering circuit board assembly 2738 Cone Plate Viscometer with Temperature Bath and Rheocalct computer software 2739 Contact Angle Measurement CON‐01 2740 Contact System CS‐400E Component Locator 2741 Contact Systems CS‐400E Component Locator Cut and Clinch PCB SMT CS‐201 Bins 2742 Contact Systems CS400E Ultra Clinch Component Locator CS201 Rotary Bin Carousel The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 89 sales@semistarcorp.com
  • 30. 2743 CONTACT SYSTEMS CS‐740B D JIT Bin Component Storage Cabinet PCB Assembly Machine 2744 Contact Systems/Opti‐Print 1616PD Benchtop Automatic Screen/Stencil Printer SMT 2745 Contact Systems/Versatech 2016 CS‐400E Cut and Clinch Component Locator 2746 Control Micro 20W Fiber Laser 06036 2747 Convectron 25KW Convectron RD3 Chain Tunnel Oven 80 amp 208 3 phase LCD interface 2748 Conveyor Tech FMU‐72I Magazine Handler 2749 Conveyor Technologies 2010 Conveyor Technologies CTI FM2Q‐72L Magazine Loader/Unloader 2750 Conveyor Technologies 2017 CTI Systems Conveyor Technologies Slide Gate Conveyor MSG‐63I‐E‐LT 2751 Conveyor Technologies 90 degree turn unit / rotation conveyor programmable inpu 2752 Conveyor Technologies Accumulator PB‐1M‐9 2753 Conveyor Technologies CTI FM2Q‐72L Magazine unloader front of line 5 magazine 2754 Conveyor Technologies MDS‐.6M‐UL Bare Board Gravity Destacker (181001) 2755 Conveyor Technologies MDS‐.6M‐UL Bare Board Gravity Destacker (181001) 2756 Conveyor Technologies Shuttle Conveyor SHC‐601‐REF 2011 Vintage 2757 Cookson Speedline Technologies MPM Ultraprint 1500 Screen Printer 2758 Coorstek Norton Silicon Carbide Coated Process Tube, 8" x 84", 50 28 ball socket 2759 Coorstek Silicon Carbide Vertical Furnace Boat TEL KOKUSAI ASM, 200mm 220 Slot 2760 CORONA/8/E / PCI FRAME GRABBER PCB OUT OF (750‐693047‐002, IPC CE400) / MATROX 2761 Cosel SGYD7002‐2 Power Supply PCB Card Nikon 4S001‐142 NSR‐S620D  2762 CPI 73154711 Cathode High Voltage Tank, 731547, 423786 2763 CPI CPW2870A8 ‐ TYPE G19‐0017500 ‐ 460/480V ‐ 60A 50/60Hz 3PH Power Supply 2764 CPI CPW2870B10 ‐ TYPE 27‐126103‐00 REV 1 ‐ 460/480V 58A 50/60Hz 3PH Power Supply 2765 CPI CPW2870B10 ‐ TYPE 27‐126103‐00 REV 1 ‐ 460/480V 58A 50/60Hz 3PH Power Supply 2766 CPI CPW2870B10 Power Supply, 27‐251231‐00, 460/480V, 58A, 50/60Hz, 3PH, 421032 2767 CPI VPW2870V6 ‐ TYPE TFS 04‐730636‐01 REV B ‐ 460/480V 40A 50/60Hz Power Supply 2768 CPI VPW2870W6‐HC‐M ‐ TYPE TFS G190021900 460/480V 40A 50/60Hz 3PH Power Supply 2769 CPI VPW2870W6‐HC‐S ‐ TYPE G190021900 ‐ 460/480V ‐ 20A 50/60Hz 3PH Power Supply The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 90 sales@semistarcorp.com