SlideShare a Scribd company logo
์ž„๋ฒ ๋””๋“œ์ž„๋ฒ ๋””๋“œ ๋งˆ์ดํฌ๋กœํ”„๋กœ์„ธ์„œ๋งˆ์ดํฌ๋กœํ”„๋กœ์„ธ์„œ
ํ”„๋กœ๊ทธ๋ž˜๋ฐํ”„๋กœ๊ทธ๋ž˜๋ฐ ์‹ค์ „์‹ค์ „
์ œ์ž‘์ œ์ž‘ :: ๋„ค๋„ค ๋กœ๋กœ ํ…Œํ…Œ ํฌํฌ
๊ฐ•์˜๊ฐ•์˜ :: ๊น€๊น€ ์ข…์ข… ํ˜•ํ˜•
--22--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
ATMEGA128 I/OATMEGA128 I/O ์ œ์–ด์ œ์–ด
1. Input/Output ์ฒ˜๋ฆฌ ๋ฐฉ๋ฒ•
2. I/O ์ œ์–ด ํ”„๋กœ๊ทธ๋žจ ์‹ค์Šต
--33--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-1 I/O ํฌํŠธ์˜ ๊ธฐ๋ณธ ๊ตฌ์กฐ 1
โŠ™ 6๊ฐœ์˜ 8๋น„ํŠธ ์–‘๋ฐฉํ–ฅ ๋ณ‘๋ ฌ I/OํฌํŠธ (A ~ F) ์™€ 1๊ฐœ์˜ 5๋น„ํŠธ ๋ณ‘๋ ฌ I/OํฌํŠธ(G)๋กœ ๊ตฌ์„ฑ
โŠ™ Read-Modify-Write ๋™์ž‘ ๊ฐ€๋Šฅ(A ~ E)
โŠ™ H์ƒํƒœ์˜ source drive ์™€ L์ƒํƒœ์˜ sink drive ๋Šฅ๋ ฅ์ด ๋Œ€์นญ์ 
โŠ™ ์ตœ๋Œ€ ๊ตฌ๋™์ „๋ฅ˜ (sinks up to 40 mA)
โŠ™ ํ’€์—…์ €ํ•ญ์˜ ์‚ฌ์šฉ ์—ฌ๋ถ€๋ฅผ ์„ค์ •(Pinwise Controlled Pull-Up Resistors)
โŠ™ ๋ฐ์ดํ„ฐ ์ž…์ถœ๋ ฅ๋ฐฉํ–ฅ์„ ์„ค์ •(Pinwise Controlled Data Direction)
โŠ™ Three Control/Status Bits per Bit/Pin
--44--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-1 I/O ํฌํŠธ์˜ ๊ธฐ๋ณธ ๊ตฌ์กฐ 2
โ˜ž ๊ฐ ํฌํŠธ๋Š” 3๊ฐœ์˜ I/O ๋ ˆ์ง€์Šคํ„ฐ ์˜์—ญ์œผ๋กœ ๊ตฌ์„ฑ
โŠ™ DDRx (Data Direction Register) : ์ž…์ถœ๋ ฅ์˜ ๋ฐฉํ–ฅ์„ ์„ค์ •
โŠ™ PORTx (Data Register) : ๋ฐ์ดํ„ฐ ์ถœ๋ ฅ์— ํ•ด๋‹นํ•˜๋Š” PORTx ๋ ˆ์ง€์Šคํ„ฐ
โŠ™ PINx (Port Input Pins Address) : ํฌํŠธ ์ž…๋ ฅ ํ•€์— ํ•ด๋‹นํ•˜๋Š” PINx ๋ ˆ์ง€์Šคํ„ฐ
โŠ™ DDR, PORT ๋Š” ์ฝ๊ณ  ์“ฐ๋Š” ๊ฒƒ์ด ๊ฐ€๋Šฅ, PIN์€ ์ฝ๋Š” ๊ฒƒ๋งŒ ๊ฐ€๋Šฅํ•œ ๋ ˆ์ง€์Šคํ„ฐ
โŠ™ I/OํฌํŠธ์˜ ํ’€์—…์ €ํ•ญ์€ SFIOR ๋ ˆ์ง€์Šคํ„ฐ์˜ PUD(Pull-up Disable) ๋น„ํŠธ๋ฅผ 1๋กœ ์…‹ํ•˜์—ฌ ๊ธˆ์ง€
๋‚ด๋ถ€ ํ’€์—… ์ €ํ•ญ์„ ์‚ฌ์šฉํ•˜๋ ค๋ฉด PUD๋น„ํŠธ๋ฅผ 0๋กœ ์„ค์ •(DDRx = 0, PORTx = 1)
--55--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-2 I/O ํฌํŠธ์˜ ๊ธฐ๋ณธ ๋™์ž‘
โ˜ž I/O ํฌํŠธ ํ•€์˜ ๋™์ž‘ ์„ค์ •
H์ถœ๋ ฅ(source)NoOutputX11
L์ถœ๋ ฅ(sink)NoOutputX01
3-State(ํ•˜์ด ์ž„ํ”ผ๋˜์Šค)NoInput110
๋‚ด๋ถ€ ํ’€์—…YesInput010
3-State(ํ•˜์ด ์ž„ํ”ผ๋˜์Šค)NoInputX00
๋น„๊ณ Pull-upI/OPUDPORTxnDDRxn
--66--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-2 I/O ํฌํŠธ์˜ ๊ธฐ๋ณธ ๋™์ž‘ 1
DDx
PORTx
PINx Physical Pin
Pull-Up
Direction: INPUT
Pull-Up: OFF
??
0
0
Default Configuration
--77--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-2 I/O ํฌํŠธ์˜ ๊ธฐ๋ณธ ๋™์ž‘ 2 Switch On Pull-Up
DDx
PORTx
PINx Physical Pin
Pull-Up
Direction: INPUT
Pull-Up: ON
??
0
11
--88--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-2 I/O ํฌํŠธ์˜ ๊ธฐ๋ณธ ๋™์ž‘ 3 Port is Output
DDx
PORTx
PINx Physical Pin
Pull-Up
11
1
11
11
1
Direction: OUTPUT
Pull-Up: OFF
--99--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘
โ‘  ํฌํŠธํฌํŠธ AA
โ–ถ ์™ธ๋ถ€๋ฉ”๋ชจ๋ฆฌ๋ฅผ ์ธํ„ฐํŽ˜์ด์Šค ์œ„ํ•œ ๋ฐ์ดํ„ฐ๋ฒ„์Šค ๋ฐ ์–ด๋“œ๋ ˆ์Šค ๋ฒ„์Šค์˜ ํ•˜์œ„ ๋ฐ”์ดํŠธ๋กœ ๋™์ž‘
โ–ถ ์–ด๋“œ๋ ˆ์Šค ๋ฒ„์Šค๋ฅผ ๋ถ„๋ฆฌํ•˜๋Š”๋ฐ ALE(Address Latch Enable)์‹ ํ˜ธ๋ฅผ ์‚ฌ์šฉ
AD0 (External memory interface address and data bit 0)PA0
AD1 (External memory interface address and data bit 1)PA1
AD2 (External memory interface address and data bit 2)PA2
AD3 (External memory interface address and data bit 3)PA3
AD4 (External memory interface address and data bit 4)PA4
AD5 (External memory interface address and data bit 5)PA5
AD6 (External memory interface address and data bit 6)PA6
AD7 (External memory interface address and data bit 7)PA7
๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
--1010--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘
โ‘ก ํฌํŠธํฌํŠธ BB
โ–ถ ํƒ€์ด๋จธ/์นด์šดํ„ฐ๋‚˜ SPI ๊ธฐ๋Šฅ์„ ์œ„ํ•œ ์‹ ํ˜ธ๋“ค๋กœ ๋™์ž‘
/SS (SPI Slave Select Input)PB0
SCK (SPI Bus Serial Clock)PB1
MOSI (SPI Bus Master Output/Slave Input)PB2
MISO (SPI Bus Master Input/Slave Output)PB3
OC0 (Output compare and PWM Output for Timer/Counter0)PB4
OC1A (Output compare and PWM Output A for Timer/Counter2)PB5
OC1B (Output compare and PWM Output B for Timer/Counter1)PB6
OC2/OC1C (Timer/Counter2 or Timer/Counter1)PB7
๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
--1111--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘
โ‘ข ํฌํŠธํฌํŠธ CC
โ–ถ ์™ธ๋ถ€ ๋ฉ”๋ชจ๋ฆฌ๋ฅผ ์ธํ„ฐํŽ˜์ด์Šคํ•˜๊ธฐ ์œ„ํ•œ ์–ด๋“œ๋ ˆ์Šค ๋ฒ„์Šค์˜ ์ƒ์œ„ ๋ฐ”์ดํŠธ๋กœ ๋™์ž‘
A8 (External memory interface address and data bit 8)PC0
A9 (External memory interface address and data bit 9)PC1
A10 (External memory interface address and data bit 10)PC2
A11 (External memory interface address and data bit 11)PC3
A12 (External memory interface address and data bit 12)PC4
A13 (External memory interface address and data bit 13)PC5
A14 (External memory interface address and data bit 14)PC6
A15(External memory interface address and data bit 15)PC 7
๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
--1212--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘
โ‘ฃ ํฌํŠธํฌํŠธ DD
โ–ถ ํƒ€์ด๋จธ/์นด์šดํ„ฐ๋‚˜ ์™ธ๋ถ€ ์ธํ„ฐ๋ŸฝํŠธ ๋˜๋Š” USART1, TWI ์ง๋ ฌํ†ต์‹  ํฌํŠธ ๊ธฐ๋Šฅ์„ ์œ„ํ•œ ์‹ ํ˜ธ๋“ค๋กœ ๋™์ž‘
INT0/SCL(External Interrupt0 Input or TWI Serial Clock)PD0
INT1/SDA(External Interrupt1 Input or TWI Serial Data)PD1
INT2/RXD1(External Interrupt2 Input or USART1 Receive Data)PD2
INT3/TXD1(External Interrupt3 Input or USART1 Transmit Data)PD3
ICP1(Timer/Counter1 Input Capture Pin)PD4
XCK1(USART1 External Clock Input/Output)PD5
T1(Timer/Counter1 Clock Input)PD6
T2(Timer/Counter2 clock Input)PD 7
๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
--1313--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘
โ‘ค ํฌํŠธํฌํŠธ EE
โ–ถ ํƒ€์ด๋จธ/์นด์šดํ„ฐ๋‚˜ ์™ธ๋ถ€์ธํ„ฐ๋ŸฝํŠธ ๋˜๋Š” USART0 ์ง๋ ฌํ†ต์‹ ํฌํŠธ, ์•„๋‚ ๋กœ๊ทธ ๋น„๊ต๊ธฐ, ISP ๊ธฐ๋Šฅ์„ ์œ„ํ•œ ์‹ ํ˜ธ๋กœ ๋™์ž‘
PDI/RXD0(ISP Programming Data Input or USART0 Receive Data)PE0
PDO/TXD0(ISP Programming Data Output or USART0 Transmit Data)PE1
AIN0/ACK0 (Analog Comparator Positive Input or
USART0 External Clock Input/Output)
PE2
AIN1/OC3A(Analog Comparator Negative Input or Output Compare and
PWM Output A for Timer/Couter3)
PE3
INT4/OC3B(External Interrupt4 Input or Output compare and
PWM Output B for Timer/Counter3)
PE4
INT5/OC3C(External Interrupt5 Input or Output compare and
PWM Output C for Timer/Counter3)
PE5
INT6/T3(External Interrupt6 Input or Timer/Counter3 Clock Input)PE6
INT7/ICP3(External Interrupt7 Input or Timer/Couter3 Input Capture Pin)PE 7
๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
--1414--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘
โ‘ฅ ํฌํŠธํฌํŠธ FF
โ–ถ A/D ์ปจ๋ฒ„ํ„ฐ, JTAG ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ ์œ„ํ•œ ์‹ ํ˜ธ๋กœ ๋™์ž‘
ADC0 (ADC Input Channel 0)PF0
ADC1 (ADC Input Channel 1)PF1
ADC2 (ADC Input Channel 2)PF2
ADC3 (ADC Input Channel 3)PF3
ADC4/TCK (ADC Input Channel 4 or JTAG Test Clock)PF4
ADC5/TMS (ADC Input Channel 5 or JTAG Test Mode Select)PF5
ADC6/TDO (ADC Input Channel 6 or JTAG Test Data Output)PF6
ADC7/TD I(ADC Input Channel 7 or JTAG Test Data Input)PF 7
๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
--1515--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘
โ‘ฆ ํฌํŠธํฌํŠธ GG
โ–ถ PG4 ~ PG0์˜ 5๋น„ํŠธ๋งŒ ์‚ฌ์šฉ๋˜๋ฉฐ ๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋Šฅ์€ ์™ธ๋ถ€๋ฉ”๋ชจ๋ฆฌ ์ธํ„ฐํŽ˜์ด์Šค๋‚˜ ํƒ€์ด๋จธ/์นด์šดํ„ฐ๋กœ ๋™์ž‘
/WR (Write Strobe to External Memory)PG0
/RD (Read Strobe to External Memory)PG1
ALE (Address Latch Enable to External Memory)PG2
TOSC2 (RTC Oscillator Output for Timer/Counter0)PG3
TOSC1 (RTC Oscillator Input for Timer/Counter0)PG4
๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
--1616--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-4 I/O ํฌํŠธ์˜ ์ œ์–ด ์‹ค์Šต 1
โ˜ž ํฌํŠธB์— LED๋ฅผ ์—ฐ๊ฒฐํ•˜๊ณ  ์™ผ์ชฝ์œผ๋กœ ์ด๋™ํ•˜๋ฉฐ ์ˆœ์ฐจ์ ์œผ๋กœ ON/OFFํ•˜๋Š” ํ”„๋กœ๊ทธ๋žจ
#include <mega128.h>
#include <delay.h>
void main(void)
{
unsigned char led = 0xfe ; // ๋ณ€์ˆ˜์˜ ์ •์˜
DDRB = 0xff ; // ํฌํŠธB๋ฅผ ๋ชจ๋‘ ์ถœ๋ ฅ์œผ๋กœ ์ •์˜
PORTB = 0x00 ; // ์ฒ˜์Œ ๋™์ž‘์€ PORTB์— ์—ฐ๊ฒฐ๋œ LED ๋ชจ๋‘ ์ผœ๊ธฐ
delay_ms(5000); // delay()ํ•จ์ˆ˜๋ฅผ ์ด์šฉํ•˜์—ฌ ์•ฝ 5์ดˆ๊ฐ€ LED ON ์ƒํƒœ ์œ ์ง€
while (1)
{
PORTB = led ; // PORTB์— LED ์ถœ๋ ฅ ์ง€์ •
delay_ms(3000); // 3์ดˆ ๋”œ๋ ˆ์ด
led << = 1 ; // ์ขŒ์‰ฌํ”„ํŠธ 1BIT
led |= 0x01 ; // 1๋น„ํŠธ ์ขŒ SHIFTE ํ›„ 0X01 ๊ฐ’์„ ๋…ผ๋ฆฌํ•ฉ์œผ๋กœ ํ•จ์œผ๋กœ์จ
// 0์˜ ๊ฐ’์„ 1๋กœ ์…‹ํŠธํ•จ
if (led == 0xff)
led = 0xfe ; // ๋ชจ๋“  ๊ฐ’์ด 1์ผ ๋˜๋ฉด LED ON ์ด ๋˜์ง€ ์•Š์œผ๋ฏ€๋กœ
// LED ๋ณ€์ˆ˜ ์ดˆ๊ธฐํ™”
}
}
--1717--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-4 I/O ํฌํŠธ์˜ ์ œ์–ด ์‹ค์Šต 2
โ˜ž ํฌํŠธB์— LED๋ฅผ ์—ฐ๊ฒฐํ•˜๊ณ  ์ขŒ์šฐ ์‰ฌํ”„ํŠธ ON/OFF ํ•˜๋Š” ํ”„๋กœ๊ทธ๋žจ
#include <mega128.h>
#include <delay.h>
void main(void)
{
unsigned char led = 0xfe ; // ๋ณ€์ˆ˜์˜ ์ •์˜
DDRB = 0xff ; // ํฌํŠธB๋ฅผ ๋ชจ๋‘ ์ถœ๋ ฅ์œผ๋กœ ์ •์˜
PORTB = 0x00 ; // ์ฒ˜์Œ ๋™์ž‘์€ PORTB์— ์—ฐ๊ฒฐ๋œ LED ๋ชจ๋‘ ์ผœ๊ธฐ
delay_ms(3000); // delay()ํ•จ์ˆ˜๋ฅผ ์ด์šฉํ•˜์—ฌ ์•ฝ 3์ดˆ๊ฐ„ LED ON ์ƒํƒœ ์œ ์ง€
while (1)
{
do // do ~ while๋ฌธ ์‹คํ–‰
{
PORTB = led ; // PORTB์— LED ์ถœ๋ ฅ ์ง€์ •
delay_ms(1000);
led << = 1 ; // ์ขŒ์‰ฌํ”„ํŠธ 1BIT
led |= 0x01 ; // 1๋น„ํŠธ ์ขŒ SHIFTE ํ›„ 0X01 ๊ฐ’์„ ๋…ผ๋ฆฌํ•ฉ์œผ๋กœ ํ•จ์œผ๋กœ์จ 0์˜ ๊ฐ’์„ 1๋กœ ์…‹ํŠธํ•จ
} while (led != 0x7f) ; // led๊ฐ€ ์ขŒ์ธก ์‰ฌํ”„ํŠธ ๋งˆ์ง€๋ง‰์— ์˜ค๋ฉด ๋‹ค์Œ ๋ฃจํ‹ด์„ ์‹คํ–‰
do
{
PORTB = led ; // PORTB์— LED ์ถœ๋ ฅ ์ง€์ •
delay_ms(1000) ;
led >>= 1 ; // ์šฐ์‰ฌํ”„ํŠธ 1BIT
led |= 0x80 ; // 1๋น„ํŠธ ์šฐ SHIFTE ํ›„ 0X80 ๊ฐ’์„ ๋…ผ๋ฆฌํ•ฉ์œผ๋กœ ํ•จ์œผ๋กœ์จ 0์˜ ๊ฐ’์„ 1๋กœ ์…‹ํŠธํ•จ
} while (led != 0xfe) ; // led๊ฐ€ ์šฐ์ธก ์‰ฌํ”„ํŠธ ๋งˆ์ง€๋ง‰์— ์˜ค๋ฉด ๋‹ค์Œ ๋ฃจํ‹ด์„ ์‹คํ–‰
}
}
--1818--20062006--0303--2929
Embedded AVR ProgrammingEmbedded AVR Programming
1-4 I/O ํฌํŠธ์˜ ์ œ์–ด ์‹ค์Šต 3
โ˜ž ํฌํŠธ C๋กœ ์™ธ๋ถ€ ์‹ ํ˜ธ๋ฅผ ์ž…๋ ฅ ๋ฐ›์•„ ํฌํŠธ B๋กœ ๋ฐ์ดํ„ฐ ๊ฐ’์„ ๋ฐ˜์ „์‹œ์ผœ ์ถœ๋ ฅํ•˜๋Š” ํ”„๋กœ๊ทธ๋žจ
#include <mega128.h>
void main(void)
{
unsigned char key ; // key์ž…๋ ฅ ๋ณ€์ˆ˜ ์„ ์–ธ
MCUCR = 0x00; // ์™ธ๋ถ€ ๋ฉ”๋ชจ๋ฆฌ ๋””์Šค์—์ด๋ธ”
DDRB = 0xff; // ํฌํŠธ B๋ฅผ ์ถœ๋ ฅ์œผ๋กœ ์„ค์ •
DDRC = 0x00; // ํฌํŠธ C๋ฅผ ์ž…๋ ฅ์œผ๋กœ ์„ค์ •
PORTC = 0xff; // ๋‚ด๋ถ€ ํ’€์—…์ €ํ•ญ ์‚ฌ์šฉ
while (1)
{
key = PINC; // ์Šค์œ„์น˜๊ฐ€ ๋ˆŒ๋ฆด ๋•Œ ํฌํŠธ C์˜ ๊ฐ’์„ ์ฝ์–ด์™€์„œ key์— ์ €์žฅ
PORTB = ~key; // key๊ฐ’์„ ํฌํŠธ B์— LED์ถœ๋ ฅ
};
}

More Related Content

What's hot

Arduino Basic Programming
Arduino Basic ProgrammingArduino Basic Programming
Arduino Basic Programming
SangGyu Kim
ย 
Arduino ์†Œ๊ฐœ, RC์นด ๋งŒ๋“ค๊ธฐ
Arduino ์†Œ๊ฐœ, RC์นด ๋งŒ๋“ค๊ธฐArduino ์†Œ๊ฐœ, RC์นด ๋งŒ๋“ค๊ธฐ
Arduino ์†Œ๊ฐœ, RC์นด ๋งŒ๋“ค๊ธฐJi Hun Kim
ย 
๊ด‘์šด๋Œ€[๋ฐ”๋žŒ] 1.vhdl intro
๊ด‘์šด๋Œ€[๋ฐ”๋žŒ] 1.vhdl intro๊ด‘์šด๋Œ€[๋ฐ”๋žŒ] 1.vhdl intro
๊ด‘์šด๋Œ€[๋ฐ”๋žŒ] 1.vhdl intro
NAVER D2
ย 
1 5 horton series 2000 manual
1 5 horton series 2000 manual1 5 horton series 2000 manual
1 5 horton series 2000 manualjohntaveras
ย 
์•„๋‘์ด๋…ธ 2015-2 ํ•œ๋™๋Œ€ํ•™๊ต ๊ณตํ•™์„ค๊ณ„์ž…๋ฌธ
์•„๋‘์ด๋…ธ 2015-2 ํ•œ๋™๋Œ€ํ•™๊ต ๊ณตํ•™์„ค๊ณ„์ž…๋ฌธ์•„๋‘์ด๋…ธ 2015-2 ํ•œ๋™๋Œ€ํ•™๊ต ๊ณตํ•™์„ค๊ณ„์ž…๋ฌธ
์•„๋‘์ด๋…ธ 2015-2 ํ•œ๋™๋Œ€ํ•™๊ต ๊ณตํ•™์„ค๊ณ„์ž…๋ฌธ
Sangjun Han
ย 
แ„‰แ…ฉแ†ซแ„‹แ…ณแ„…แ…ฉ แ„†แ…กแ†ซแ„ƒแ…ณแ„‚แ…ณแ†ซ แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ
แ„‰แ…ฉแ†ซแ„‹แ…ณแ„…แ…ฉ แ„†แ…กแ†ซแ„ƒแ…ณแ„‚แ…ณแ†ซ แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉแ„‰แ…ฉแ†ซแ„‹แ…ณแ„…แ…ฉ แ„†แ…กแ†ซแ„ƒแ…ณแ„‚แ…ณแ†ซ แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ
แ„‰แ…ฉแ†ซแ„‹แ…ณแ„…แ…ฉ แ„†แ…กแ†ซแ„ƒแ…ณแ„‚แ…ณแ†ซ แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ
Kisoon Eom
ย 
04. external interrupt
04. external interrupt04. external interrupt
04. external interrupt
์„ฑํ˜ธ ์ •
ย 
๋ฉ”์ด์ปค ํŽ˜์–ด ์„œ์šธ 2018 - ์ธก์šฐ์ž๊ฒฉ๋ฃจ(๊ฐœ๋ฐœ์ž์šฉ)
๋ฉ”์ด์ปค ํŽ˜์–ด ์„œ์šธ 2018 - ์ธก์šฐ์ž๊ฒฉ๋ฃจ(๊ฐœ๋ฐœ์ž์šฉ)๋ฉ”์ด์ปค ํŽ˜์–ด ์„œ์šธ 2018 - ์ธก์šฐ์ž๊ฒฉ๋ฃจ(๊ฐœ๋ฐœ์ž์šฉ)
๋ฉ”์ด์ปค ํŽ˜์–ด ์„œ์šธ 2018 - ์ธก์šฐ์ž๊ฒฉ๋ฃจ(๊ฐœ๋ฐœ์ž์šฉ)
JongyoonWon1
ย 
ํ•œ์ปดMDS_TRACE32๋ฅผ ํ™œ์šฉํ•œ ํ†ตํ•ฉ์‹œํ—˜ ์‹œ์Šคํ…œ ๊ตฌ์„ฑ ๋ฐฉ์•ˆ
ํ•œ์ปดMDS_TRACE32๋ฅผ ํ™œ์šฉํ•œ ํ†ตํ•ฉ์‹œํ—˜ ์‹œ์Šคํ…œ ๊ตฌ์„ฑ ๋ฐฉ์•ˆํ•œ์ปดMDS_TRACE32๋ฅผ ํ™œ์šฉํ•œ ํ†ตํ•ฉ์‹œํ—˜ ์‹œ์Šคํ…œ ๊ตฌ์„ฑ ๋ฐฉ์•ˆ
ํ•œ์ปดMDS_TRACE32๋ฅผ ํ™œ์šฉํ•œ ํ†ตํ•ฉ์‹œํ—˜ ์‹œ์Šคํ…œ ๊ตฌ์„ฑ ๋ฐฉ์•ˆ
HANCOM MDS
ย 
แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉแ„‹แ…ช Fpgaแ„…แ…ณแ†ฏ แ„‹แ…ตแ„‹แ…ญแ†ผแ„’แ…กแ†ซ แ„…แ…ฉแ„‡แ…ฉแ†บแ„Œแ…ฆแ„Œแ…กแ†จ
แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉแ„‹แ…ช Fpgaแ„…แ…ณแ†ฏ แ„‹แ…ตแ„‹แ…ญแ†ผแ„’แ…กแ†ซ แ„…แ…ฉแ„‡แ…ฉแ†บแ„Œแ…ฆแ„Œแ…กแ†จแ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉแ„‹แ…ช Fpgaแ„…แ…ณแ†ฏ แ„‹แ…ตแ„‹แ…ญแ†ผแ„’แ…กแ†ซ แ„…แ…ฉแ„‡แ…ฉแ†บแ„Œแ…ฆแ„Œแ…กแ†จ
แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉแ„‹แ…ช Fpgaแ„…แ…ณแ†ฏ แ„‹แ…ตแ„‹แ…ญแ†ผแ„’แ…กแ†ซ แ„…แ…ฉแ„‡แ…ฉแ†บแ„Œแ…ฆแ„Œแ…กแ†จ
chcbaram
ย 
Mikrotic CCR1036 ๋ผ์šฐํŒ… ์„ค์ •
Mikrotic CCR1036 ๋ผ์šฐํŒ… ์„ค์ •Mikrotic CCR1036 ๋ผ์šฐํŒ… ์„ค์ •
Mikrotic CCR1036 ๋ผ์šฐํŒ… ์„ค์ •
ymtech
ย 
๋ฆฌ๋ชจ์ฝ˜๋ฉ”๋‰ด์–ผ
๋ฆฌ๋ชจ์ฝ˜๋ฉ”๋‰ด์–ผ๋ฆฌ๋ชจ์ฝ˜๋ฉ”๋‰ด์–ผ
๋ฆฌ๋ชจ์ฝ˜๋ฉ”๋‰ด์–ผhaeung2
ย 
Netmanias L2,L3 Training (5) L3 SW Architecture
Netmanias L2,L3 Training (5) L3 SW ArchitectureNetmanias L2,L3 Training (5) L3 SW Architecture
Netmanias L2,L3 Training (5) L3 SW Architecture
Chris Changmo Yoo
ย 
Smart rover ํ†ต์‹  ๋ถ„์„
Smart rover ํ†ต์‹  ๋ถ„์„Smart rover ํ†ต์‹  ๋ถ„์„
Smart rover ํ†ต์‹  ๋ถ„์„Jang Suk Jung
ย 
MarsBoard RK3066 Linux ์„ค์น˜
MarsBoard RK3066 Linux ์„ค์น˜MarsBoard RK3066 Linux ์„ค์น˜
MarsBoard RK3066 Linux ์„ค์น˜
ymtech
ย 
Netmanias L2,L3 Training (3) L2, L3 QoS
Netmanias L2,L3 Training (3) L2, L3 QoSNetmanias L2,L3 Training (3) L2, L3 QoS
Netmanias L2,L3 Training (3) L2, L3 QoS
Chris Changmo Yoo
ย 
Netmanias L2,L3 Training (1) L2 Ethernet
Netmanias L2,L3 Training (1) L2 EthernetNetmanias L2,L3 Training (1) L2 Ethernet
Netmanias L2,L3 Training (1) L2 Ethernet
Chris Changmo Yoo
ย 

What's hot (17)

Arduino Basic Programming
Arduino Basic ProgrammingArduino Basic Programming
Arduino Basic Programming
ย 
Arduino ์†Œ๊ฐœ, RC์นด ๋งŒ๋“ค๊ธฐ
Arduino ์†Œ๊ฐœ, RC์นด ๋งŒ๋“ค๊ธฐArduino ์†Œ๊ฐœ, RC์นด ๋งŒ๋“ค๊ธฐ
Arduino ์†Œ๊ฐœ, RC์นด ๋งŒ๋“ค๊ธฐ
ย 
๊ด‘์šด๋Œ€[๋ฐ”๋žŒ] 1.vhdl intro
๊ด‘์šด๋Œ€[๋ฐ”๋žŒ] 1.vhdl intro๊ด‘์šด๋Œ€[๋ฐ”๋žŒ] 1.vhdl intro
๊ด‘์šด๋Œ€[๋ฐ”๋žŒ] 1.vhdl intro
ย 
1 5 horton series 2000 manual
1 5 horton series 2000 manual1 5 horton series 2000 manual
1 5 horton series 2000 manual
ย 
์•„๋‘์ด๋…ธ 2015-2 ํ•œ๋™๋Œ€ํ•™๊ต ๊ณตํ•™์„ค๊ณ„์ž…๋ฌธ
์•„๋‘์ด๋…ธ 2015-2 ํ•œ๋™๋Œ€ํ•™๊ต ๊ณตํ•™์„ค๊ณ„์ž…๋ฌธ์•„๋‘์ด๋…ธ 2015-2 ํ•œ๋™๋Œ€ํ•™๊ต ๊ณตํ•™์„ค๊ณ„์ž…๋ฌธ
์•„๋‘์ด๋…ธ 2015-2 ํ•œ๋™๋Œ€ํ•™๊ต ๊ณตํ•™์„ค๊ณ„์ž…๋ฌธ
ย 
แ„‰แ…ฉแ†ซแ„‹แ…ณแ„…แ…ฉ แ„†แ…กแ†ซแ„ƒแ…ณแ„‚แ…ณแ†ซ แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ
แ„‰แ…ฉแ†ซแ„‹แ…ณแ„…แ…ฉ แ„†แ…กแ†ซแ„ƒแ…ณแ„‚แ…ณแ†ซ แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉแ„‰แ…ฉแ†ซแ„‹แ…ณแ„…แ…ฉ แ„†แ…กแ†ซแ„ƒแ…ณแ„‚แ…ณแ†ซ แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ
แ„‰แ…ฉแ†ซแ„‹แ…ณแ„…แ…ฉ แ„†แ…กแ†ซแ„ƒแ…ณแ„‚แ…ณแ†ซ แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ
ย 
04. external interrupt
04. external interrupt04. external interrupt
04. external interrupt
ย 
๋ฉ”์ด์ปค ํŽ˜์–ด ์„œ์šธ 2018 - ์ธก์šฐ์ž๊ฒฉ๋ฃจ(๊ฐœ๋ฐœ์ž์šฉ)
๋ฉ”์ด์ปค ํŽ˜์–ด ์„œ์šธ 2018 - ์ธก์šฐ์ž๊ฒฉ๋ฃจ(๊ฐœ๋ฐœ์ž์šฉ)๋ฉ”์ด์ปค ํŽ˜์–ด ์„œ์šธ 2018 - ์ธก์šฐ์ž๊ฒฉ๋ฃจ(๊ฐœ๋ฐœ์ž์šฉ)
๋ฉ”์ด์ปค ํŽ˜์–ด ์„œ์šธ 2018 - ์ธก์šฐ์ž๊ฒฉ๋ฃจ(๊ฐœ๋ฐœ์ž์šฉ)
ย 
ํ•œ์ปดMDS_TRACE32๋ฅผ ํ™œ์šฉํ•œ ํ†ตํ•ฉ์‹œํ—˜ ์‹œ์Šคํ…œ ๊ตฌ์„ฑ ๋ฐฉ์•ˆ
ํ•œ์ปดMDS_TRACE32๋ฅผ ํ™œ์šฉํ•œ ํ†ตํ•ฉ์‹œํ—˜ ์‹œ์Šคํ…œ ๊ตฌ์„ฑ ๋ฐฉ์•ˆํ•œ์ปดMDS_TRACE32๋ฅผ ํ™œ์šฉํ•œ ํ†ตํ•ฉ์‹œํ—˜ ์‹œ์Šคํ…œ ๊ตฌ์„ฑ ๋ฐฉ์•ˆ
ํ•œ์ปดMDS_TRACE32๋ฅผ ํ™œ์šฉํ•œ ํ†ตํ•ฉ์‹œํ—˜ ์‹œ์Šคํ…œ ๊ตฌ์„ฑ ๋ฐฉ์•ˆ
ย 
แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉแ„‹แ…ช Fpgaแ„…แ…ณแ†ฏ แ„‹แ…ตแ„‹แ…ญแ†ผแ„’แ…กแ†ซ แ„…แ…ฉแ„‡แ…ฉแ†บแ„Œแ…ฆแ„Œแ…กแ†จ
แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉแ„‹แ…ช Fpgaแ„…แ…ณแ†ฏ แ„‹แ…ตแ„‹แ…ญแ†ผแ„’แ…กแ†ซ แ„…แ…ฉแ„‡แ…ฉแ†บแ„Œแ…ฆแ„Œแ…กแ†จแ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉแ„‹แ…ช Fpgaแ„…แ…ณแ†ฏ แ„‹แ…ตแ„‹แ…ญแ†ผแ„’แ…กแ†ซ แ„…แ…ฉแ„‡แ…ฉแ†บแ„Œแ…ฆแ„Œแ…กแ†จ
แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉแ„‹แ…ช Fpgaแ„…แ…ณแ†ฏ แ„‹แ…ตแ„‹แ…ญแ†ผแ„’แ…กแ†ซ แ„…แ…ฉแ„‡แ…ฉแ†บแ„Œแ…ฆแ„Œแ…กแ†จ
ย 
Mikrotic CCR1036 ๋ผ์šฐํŒ… ์„ค์ •
Mikrotic CCR1036 ๋ผ์šฐํŒ… ์„ค์ •Mikrotic CCR1036 ๋ผ์šฐํŒ… ์„ค์ •
Mikrotic CCR1036 ๋ผ์šฐํŒ… ์„ค์ •
ย 
๋ฆฌ๋ชจ์ฝ˜๋ฉ”๋‰ด์–ผ
๋ฆฌ๋ชจ์ฝ˜๋ฉ”๋‰ด์–ผ๋ฆฌ๋ชจ์ฝ˜๋ฉ”๋‰ด์–ผ
๋ฆฌ๋ชจ์ฝ˜๋ฉ”๋‰ด์–ผ
ย 
Netmanias L2,L3 Training (5) L3 SW Architecture
Netmanias L2,L3 Training (5) L3 SW ArchitectureNetmanias L2,L3 Training (5) L3 SW Architecture
Netmanias L2,L3 Training (5) L3 SW Architecture
ย 
Smart rover ํ†ต์‹  ๋ถ„์„
Smart rover ํ†ต์‹  ๋ถ„์„Smart rover ํ†ต์‹  ๋ถ„์„
Smart rover ํ†ต์‹  ๋ถ„์„
ย 
MarsBoard RK3066 Linux ์„ค์น˜
MarsBoard RK3066 Linux ์„ค์น˜MarsBoard RK3066 Linux ์„ค์น˜
MarsBoard RK3066 Linux ์„ค์น˜
ย 
Netmanias L2,L3 Training (3) L2, L3 QoS
Netmanias L2,L3 Training (3) L2, L3 QoSNetmanias L2,L3 Training (3) L2, L3 QoS
Netmanias L2,L3 Training (3) L2, L3 QoS
ย 
Netmanias L2,L3 Training (1) L2 Ethernet
Netmanias L2,L3 Training (1) L2 EthernetNetmanias L2,L3 Training (1) L2 Ethernet
Netmanias L2,L3 Training (1) L2 Ethernet
ย 

Similar to Avr lecture2

ํ•œ์ปดMDS_๋ฌด๊ธฐ์ฒด๊ณ„ SW ๊ฐœ๋ฐœ์„ ์œ„ํ•œ TRACE32 ํ™œ์šฉ๋ฐฉ์•ˆ
ํ•œ์ปดMDS_๋ฌด๊ธฐ์ฒด๊ณ„ SW ๊ฐœ๋ฐœ์„ ์œ„ํ•œ TRACE32 ํ™œ์šฉ๋ฐฉ์•ˆํ•œ์ปดMDS_๋ฌด๊ธฐ์ฒด๊ณ„ SW ๊ฐœ๋ฐœ์„ ์œ„ํ•œ TRACE32 ํ™œ์šฉ๋ฐฉ์•ˆ
ํ•œ์ปดMDS_๋ฌด๊ธฐ์ฒด๊ณ„ SW ๊ฐœ๋ฐœ์„ ์œ„ํ•œ TRACE32 ํ™œ์šฉ๋ฐฉ์•ˆ
HANCOM MDS
ย 
Comm manual kor(dasa sigma [rev.c])(2)
Comm manual kor(dasa sigma [rev.c])(2)Comm manual kor(dasa sigma [rev.c])(2)
Comm manual kor(dasa sigma [rev.c])(2)ganeshtajane
ย 
์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 4์ผ์ฐจ
์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 4์ผ์ฐจ์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 4์ผ์ฐจ
์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 4์ผ์ฐจ
์œ ๋ช…ํ™˜ FunFun Yoo
ย 
Switch Principles of operation
Switch Principles of operationSwitch Principles of operation
Switch Principles of operation
Lee Kenneth
ย 
์‚ฌ๋ฌผ์ธํ„ฐ๋„ท ๋…ธํŠธ4_๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด๊ธฐ๋ณธ
์‚ฌ๋ฌผ์ธํ„ฐ๋„ท ๋…ธํŠธ4_๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด๊ธฐ๋ณธ์‚ฌ๋ฌผ์ธํ„ฐ๋„ท ๋…ธํŠธ4_๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด๊ธฐ๋ณธ
์‚ฌ๋ฌผ์ธํ„ฐ๋„ท ๋…ธํŠธ4_๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด๊ธฐ๋ณธ
Dong Hwa Jeong
ย 
Ryu with OpenFlow 1.3, Traffic Monitor
Ryu with OpenFlow 1.3, Traffic MonitorRyu with OpenFlow 1.3, Traffic Monitor
Ryu with OpenFlow 1.3, Traffic Monitor
jieun kim
ย 
Aperture : 3&4. ์กฐ๋„์„ผ์„œ๋ฅผ ์ด์šฉํ•œ led ๋ฐ๊ธฐ ์ œ์–ดํ•˜๊ธฐ
Aperture : 3&4. ์กฐ๋„์„ผ์„œ๋ฅผ ์ด์šฉํ•œ led ๋ฐ๊ธฐ ์ œ์–ดํ•˜๊ธฐAperture : 3&4. ์กฐ๋„์„ผ์„œ๋ฅผ ์ด์šฉํ•œ led ๋ฐ๊ธฐ ์ œ์–ดํ•˜๊ธฐ
Aperture : 3&4. ์กฐ๋„์„ผ์„œ๋ฅผ ์ด์šฉํ•œ led ๋ฐ๊ธฐ ์ œ์–ดํ•˜๊ธฐ
Hyemin Song
ย 
Arduino ํŠน๊ฐ• ๊ฐ•ํƒœ์šฑ
Arduino ํŠน๊ฐ•   ๊ฐ•ํƒœ์šฑArduino ํŠน๊ฐ•   ๊ฐ•ํƒœ์šฑ
Arduino ํŠน๊ฐ• ๊ฐ•ํƒœ์šฑ
Tae wook kang
ย 
์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 2์ผ์ฐจ ๊ต์œก ์ž๋ฃŒ
์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 2์ผ์ฐจ ๊ต์œก ์ž๋ฃŒ์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 2์ผ์ฐจ ๊ต์œก ์ž๋ฃŒ
์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 2์ผ์ฐจ ๊ต์œก ์ž๋ฃŒ
์œ ๋ช…ํ™˜ FunFun Yoo
ย 
#์•„๋‘์ด๋…ธ ์ดˆ๊ธ‰์—ฐ์ˆ˜
#์•„๋‘์ด๋…ธ ์ดˆ๊ธ‰์—ฐ์ˆ˜#์•„๋‘์ด๋…ธ ์ดˆ๊ธ‰์—ฐ์ˆ˜
#์•„๋‘์ด๋…ธ ์ดˆ๊ธ‰์—ฐ์ˆ˜
gongdigi24
ย 
[๊ธฐ์ˆ ์„ธ๋ฏธ๋‚˜ 2์ฐจ์‹œ] Ia 32 ๋ ˆ์ง€์Šคํ„ฐ ๋ถ„์„ ๋ฐ abex, crackme๋ฅผ ํ†ตํ•œ stack ํŒŒ๋ผ๋ฏธํ„ฐ ์ „๋‹ฌ ์‹ค์Šต
[๊ธฐ์ˆ ์„ธ๋ฏธ๋‚˜ 2์ฐจ์‹œ] Ia 32 ๋ ˆ์ง€์Šคํ„ฐ ๋ถ„์„ ๋ฐ abex, crackme๋ฅผ ํ†ตํ•œ stack ํŒŒ๋ผ๋ฏธํ„ฐ ์ „๋‹ฌ ์‹ค์Šต[๊ธฐ์ˆ ์„ธ๋ฏธ๋‚˜ 2์ฐจ์‹œ] Ia 32 ๋ ˆ์ง€์Šคํ„ฐ ๋ถ„์„ ๋ฐ abex, crackme๋ฅผ ํ†ตํ•œ stack ํŒŒ๋ผ๋ฏธํ„ฐ ์ „๋‹ฌ ์‹ค์Šต
[๊ธฐ์ˆ ์„ธ๋ฏธ๋‚˜ 2์ฐจ์‹œ] Ia 32 ๋ ˆ์ง€์Šคํ„ฐ ๋ถ„์„ ๋ฐ abex, crackme๋ฅผ ํ†ตํ•œ stack ํŒŒ๋ผ๋ฏธํ„ฐ ์ „๋‹ฌ ์‹ค์Šต
Seongho Park
ย 
ecdevday8 ์›น๊ฐœ๋ฐœ์ž์˜ ์•ฝํ•œ๊ณ ๋ฆฌ SQL ๋›ฐ์–ด๋„˜๊ธฐ
ecdevday8 ์›น๊ฐœ๋ฐœ์ž์˜ ์•ฝํ•œ๊ณ ๋ฆฌ SQL ๋›ฐ์–ด๋„˜๊ธฐecdevday8 ์›น๊ฐœ๋ฐœ์ž์˜ ์•ฝํ•œ๊ณ ๋ฆฌ SQL ๋›ฐ์–ด๋„˜๊ธฐ
ecdevday8 ์›น๊ฐœ๋ฐœ์ž์˜ ์•ฝํ•œ๊ณ ๋ฆฌ SQL ๋›ฐ์–ด๋„˜๊ธฐ
Kenu, GwangNam Heo
ย 
TestBCD2016-1(Answer)
TestBCD2016-1(Answer)TestBCD2016-1(Answer)
TestBCD2016-1(Answer)
Yong Heui Cho
ย 
์ปต๋“œ๋ก  ๋ฉ€ํ‹ฐ์ฝฅํ„ฐ ํŽŒ์›จ์–ด ๋ถ„์„ 2015. 3.28.
์ปต๋“œ๋ก  ๋ฉ€ํ‹ฐ์ฝฅํ„ฐ ํŽŒ์›จ์–ด ๋ถ„์„ 2015. 3.28.์ปต๋“œ๋ก  ๋ฉ€ํ‹ฐ์ฝฅํ„ฐ ํŽŒ์›จ์–ด ๋ถ„์„ 2015. 3.28.
์ปต๋“œ๋ก  ๋ฉ€ํ‹ฐ์ฝฅํ„ฐ ํŽŒ์›จ์–ด ๋ถ„์„ 2015. 3.28.
chcbaram
ย 
2020.07.14 PWJ SunTechI IoT ์†Œ๊ฐœ์ž๋ฃŒ (์ตœ์ข…) (2).pptx
2020.07.14 PWJ SunTechI IoT ์†Œ๊ฐœ์ž๋ฃŒ (์ตœ์ข…) (2).pptx2020.07.14 PWJ SunTechI IoT ์†Œ๊ฐœ์ž๋ฃŒ (์ตœ์ข…) (2).pptx
2020.07.14 PWJ SunTechI IoT ์†Œ๊ฐœ์ž๋ฃŒ (์ตœ์ข…) (2).pptx
RinandarMuslimin
ย 
3D แ„‘แ…ณแ„…แ…ตแ†ซแ„แ…ฅ แ„ƒแ…ฉแ†ผแ„Œแ…กแ†จแ„‹แ…ฏแ†ซแ„…แ…ตแ„‹แ…ช แ„Œแ…ฉแ„…แ…ตแ†ธ
3D แ„‘แ…ณแ„…แ…ตแ†ซแ„แ…ฅ แ„ƒแ…ฉแ†ผแ„Œแ…กแ†จแ„‹แ…ฏแ†ซแ„…แ…ตแ„‹แ…ช แ„Œแ…ฉแ„…แ…ตแ†ธ3D แ„‘แ…ณแ„…แ…ตแ†ซแ„แ…ฅ แ„ƒแ…ฉแ†ผแ„Œแ…กแ†จแ„‹แ…ฏแ†ซแ„…แ…ตแ„‹แ…ช แ„Œแ…ฉแ„…แ…ตแ†ธ
3D แ„‘แ…ณแ„…แ…ตแ†ซแ„แ…ฅ แ„ƒแ…ฉแ†ผแ„Œแ…กแ†จแ„‹แ…ฏแ†ซแ„…แ…ตแ„‹แ…ช แ„Œแ…ฉแ„…แ…ตแ†ธ
Chiwon Song
ย 
[2] แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ แ„’แ…ชแ†ฏแ„‹แ…ญแ†ผ แ„‰แ…ตแ†ฏแ„‰แ…ณแ†ธ
[2] แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ แ„’แ…ชแ†ฏแ„‹แ…ญแ†ผ แ„‰แ…ตแ†ฏแ„‰แ…ณแ†ธ[2] แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ แ„’แ…ชแ†ฏแ„‹แ…ญแ†ผ แ„‰แ…ตแ†ฏแ„‰แ…ณแ†ธ
[2] แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ แ„’แ…ชแ†ฏแ„‹แ…ญแ†ผ แ„‰แ…ตแ†ฏแ„‰แ…ณแ†ธ
Chiwon Song
ย 
3D ํ”„๋ฆฐํ„ฐ์™€ ์•„๋‘์ด๋…ธ
3D ํ”„๋ฆฐํ„ฐ์™€ ์•„๋‘์ด๋…ธ3D ํ”„๋ฆฐํ„ฐ์™€ ์•„๋‘์ด๋…ธ
3D ํ”„๋ฆฐํ„ฐ์™€ ์•„๋‘์ด๋…ธ
Chiwon Song
ย 
ํŽŒ์›จ์–ด์ถ”์ถœ ๊ธฐ์ดˆ
ํŽŒ์›จ์–ด์ถ”์ถœ ๊ธฐ์ดˆํŽŒ์›จ์–ด์ถ”์ถœ ๊ธฐ์ดˆ
ํŽŒ์›จ์–ด์ถ”์ถœ ๊ธฐ์ดˆ
์žฌ์ง„ ์žฅ
ย 
[์กฐ์ง„ํ˜„] [Kgc2011]direct x11 ์ด์•ผ๊ธฐ
[์กฐ์ง„ํ˜„] [Kgc2011]direct x11 ์ด์•ผ๊ธฐ[์กฐ์ง„ํ˜„] [Kgc2011]direct x11 ์ด์•ผ๊ธฐ
[์กฐ์ง„ํ˜„] [Kgc2011]direct x11 ์ด์•ผ๊ธฐ์ง„ํ˜„ ์กฐ
ย 

Similar to Avr lecture2 (20)

ํ•œ์ปดMDS_๋ฌด๊ธฐ์ฒด๊ณ„ SW ๊ฐœ๋ฐœ์„ ์œ„ํ•œ TRACE32 ํ™œ์šฉ๋ฐฉ์•ˆ
ํ•œ์ปดMDS_๋ฌด๊ธฐ์ฒด๊ณ„ SW ๊ฐœ๋ฐœ์„ ์œ„ํ•œ TRACE32 ํ™œ์šฉ๋ฐฉ์•ˆํ•œ์ปดMDS_๋ฌด๊ธฐ์ฒด๊ณ„ SW ๊ฐœ๋ฐœ์„ ์œ„ํ•œ TRACE32 ํ™œ์šฉ๋ฐฉ์•ˆ
ํ•œ์ปดMDS_๋ฌด๊ธฐ์ฒด๊ณ„ SW ๊ฐœ๋ฐœ์„ ์œ„ํ•œ TRACE32 ํ™œ์šฉ๋ฐฉ์•ˆ
ย 
Comm manual kor(dasa sigma [rev.c])(2)
Comm manual kor(dasa sigma [rev.c])(2)Comm manual kor(dasa sigma [rev.c])(2)
Comm manual kor(dasa sigma [rev.c])(2)
ย 
์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 4์ผ์ฐจ
์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 4์ผ์ฐจ์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 4์ผ์ฐจ
์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 4์ผ์ฐจ
ย 
Switch Principles of operation
Switch Principles of operationSwitch Principles of operation
Switch Principles of operation
ย 
์‚ฌ๋ฌผ์ธํ„ฐ๋„ท ๋…ธํŠธ4_๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด๊ธฐ๋ณธ
์‚ฌ๋ฌผ์ธํ„ฐ๋„ท ๋…ธํŠธ4_๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด๊ธฐ๋ณธ์‚ฌ๋ฌผ์ธํ„ฐ๋„ท ๋…ธํŠธ4_๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด๊ธฐ๋ณธ
์‚ฌ๋ฌผ์ธํ„ฐ๋„ท ๋…ธํŠธ4_๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด๊ธฐ๋ณธ
ย 
Ryu with OpenFlow 1.3, Traffic Monitor
Ryu with OpenFlow 1.3, Traffic MonitorRyu with OpenFlow 1.3, Traffic Monitor
Ryu with OpenFlow 1.3, Traffic Monitor
ย 
Aperture : 3&4. ์กฐ๋„์„ผ์„œ๋ฅผ ์ด์šฉํ•œ led ๋ฐ๊ธฐ ์ œ์–ดํ•˜๊ธฐ
Aperture : 3&4. ์กฐ๋„์„ผ์„œ๋ฅผ ์ด์šฉํ•œ led ๋ฐ๊ธฐ ์ œ์–ดํ•˜๊ธฐAperture : 3&4. ์กฐ๋„์„ผ์„œ๋ฅผ ์ด์šฉํ•œ led ๋ฐ๊ธฐ ์ œ์–ดํ•˜๊ธฐ
Aperture : 3&4. ์กฐ๋„์„ผ์„œ๋ฅผ ์ด์šฉํ•œ led ๋ฐ๊ธฐ ์ œ์–ดํ•˜๊ธฐ
ย 
Arduino ํŠน๊ฐ• ๊ฐ•ํƒœ์šฑ
Arduino ํŠน๊ฐ•   ๊ฐ•ํƒœ์šฑArduino ํŠน๊ฐ•   ๊ฐ•ํƒœ์šฑ
Arduino ํŠน๊ฐ• ๊ฐ•ํƒœ์šฑ
ย 
์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 2์ผ์ฐจ ๊ต์œก ์ž๋ฃŒ
์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 2์ผ์ฐจ ๊ต์œก ์ž๋ฃŒ์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 2์ผ์ฐจ ๊ต์œก ์ž๋ฃŒ
์ฐฝ์กฐ๊ฒฝ์ œ IoT ํ•ด์ปคํ†ค ๊ต์œก 2์ผ์ฐจ ๊ต์œก ์ž๋ฃŒ
ย 
#์•„๋‘์ด๋…ธ ์ดˆ๊ธ‰์—ฐ์ˆ˜
#์•„๋‘์ด๋…ธ ์ดˆ๊ธ‰์—ฐ์ˆ˜#์•„๋‘์ด๋…ธ ์ดˆ๊ธ‰์—ฐ์ˆ˜
#์•„๋‘์ด๋…ธ ์ดˆ๊ธ‰์—ฐ์ˆ˜
ย 
[๊ธฐ์ˆ ์„ธ๋ฏธ๋‚˜ 2์ฐจ์‹œ] Ia 32 ๋ ˆ์ง€์Šคํ„ฐ ๋ถ„์„ ๋ฐ abex, crackme๋ฅผ ํ†ตํ•œ stack ํŒŒ๋ผ๋ฏธํ„ฐ ์ „๋‹ฌ ์‹ค์Šต
[๊ธฐ์ˆ ์„ธ๋ฏธ๋‚˜ 2์ฐจ์‹œ] Ia 32 ๋ ˆ์ง€์Šคํ„ฐ ๋ถ„์„ ๋ฐ abex, crackme๋ฅผ ํ†ตํ•œ stack ํŒŒ๋ผ๋ฏธํ„ฐ ์ „๋‹ฌ ์‹ค์Šต[๊ธฐ์ˆ ์„ธ๋ฏธ๋‚˜ 2์ฐจ์‹œ] Ia 32 ๋ ˆ์ง€์Šคํ„ฐ ๋ถ„์„ ๋ฐ abex, crackme๋ฅผ ํ†ตํ•œ stack ํŒŒ๋ผ๋ฏธํ„ฐ ์ „๋‹ฌ ์‹ค์Šต
[๊ธฐ์ˆ ์„ธ๋ฏธ๋‚˜ 2์ฐจ์‹œ] Ia 32 ๋ ˆ์ง€์Šคํ„ฐ ๋ถ„์„ ๋ฐ abex, crackme๋ฅผ ํ†ตํ•œ stack ํŒŒ๋ผ๋ฏธํ„ฐ ์ „๋‹ฌ ์‹ค์Šต
ย 
ecdevday8 ์›น๊ฐœ๋ฐœ์ž์˜ ์•ฝํ•œ๊ณ ๋ฆฌ SQL ๋›ฐ์–ด๋„˜๊ธฐ
ecdevday8 ์›น๊ฐœ๋ฐœ์ž์˜ ์•ฝํ•œ๊ณ ๋ฆฌ SQL ๋›ฐ์–ด๋„˜๊ธฐecdevday8 ์›น๊ฐœ๋ฐœ์ž์˜ ์•ฝํ•œ๊ณ ๋ฆฌ SQL ๋›ฐ์–ด๋„˜๊ธฐ
ecdevday8 ์›น๊ฐœ๋ฐœ์ž์˜ ์•ฝํ•œ๊ณ ๋ฆฌ SQL ๋›ฐ์–ด๋„˜๊ธฐ
ย 
TestBCD2016-1(Answer)
TestBCD2016-1(Answer)TestBCD2016-1(Answer)
TestBCD2016-1(Answer)
ย 
์ปต๋“œ๋ก  ๋ฉ€ํ‹ฐ์ฝฅํ„ฐ ํŽŒ์›จ์–ด ๋ถ„์„ 2015. 3.28.
์ปต๋“œ๋ก  ๋ฉ€ํ‹ฐ์ฝฅํ„ฐ ํŽŒ์›จ์–ด ๋ถ„์„ 2015. 3.28.์ปต๋“œ๋ก  ๋ฉ€ํ‹ฐ์ฝฅํ„ฐ ํŽŒ์›จ์–ด ๋ถ„์„ 2015. 3.28.
์ปต๋“œ๋ก  ๋ฉ€ํ‹ฐ์ฝฅํ„ฐ ํŽŒ์›จ์–ด ๋ถ„์„ 2015. 3.28.
ย 
2020.07.14 PWJ SunTechI IoT ์†Œ๊ฐœ์ž๋ฃŒ (์ตœ์ข…) (2).pptx
2020.07.14 PWJ SunTechI IoT ์†Œ๊ฐœ์ž๋ฃŒ (์ตœ์ข…) (2).pptx2020.07.14 PWJ SunTechI IoT ์†Œ๊ฐœ์ž๋ฃŒ (์ตœ์ข…) (2).pptx
2020.07.14 PWJ SunTechI IoT ์†Œ๊ฐœ์ž๋ฃŒ (์ตœ์ข…) (2).pptx
ย 
3D แ„‘แ…ณแ„…แ…ตแ†ซแ„แ…ฅ แ„ƒแ…ฉแ†ผแ„Œแ…กแ†จแ„‹แ…ฏแ†ซแ„…แ…ตแ„‹แ…ช แ„Œแ…ฉแ„…แ…ตแ†ธ
3D แ„‘แ…ณแ„…แ…ตแ†ซแ„แ…ฅ แ„ƒแ…ฉแ†ผแ„Œแ…กแ†จแ„‹แ…ฏแ†ซแ„…แ…ตแ„‹แ…ช แ„Œแ…ฉแ„…แ…ตแ†ธ3D แ„‘แ…ณแ„…แ…ตแ†ซแ„แ…ฅ แ„ƒแ…ฉแ†ผแ„Œแ…กแ†จแ„‹แ…ฏแ†ซแ„…แ…ตแ„‹แ…ช แ„Œแ…ฉแ„…แ…ตแ†ธ
3D แ„‘แ…ณแ„…แ…ตแ†ซแ„แ…ฅ แ„ƒแ…ฉแ†ผแ„Œแ…กแ†จแ„‹แ…ฏแ†ซแ„…แ…ตแ„‹แ…ช แ„Œแ…ฉแ„…แ…ตแ†ธ
ย 
[2] แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ แ„’แ…ชแ†ฏแ„‹แ…ญแ†ผ แ„‰แ…ตแ†ฏแ„‰แ…ณแ†ธ
[2] แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ แ„’แ…ชแ†ฏแ„‹แ…ญแ†ผ แ„‰แ…ตแ†ฏแ„‰แ…ณแ†ธ[2] แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ แ„’แ…ชแ†ฏแ„‹แ…ญแ†ผ แ„‰แ…ตแ†ฏแ„‰แ…ณแ†ธ
[2] แ„‹แ…กแ„ƒแ…ฎแ„‹แ…ตแ„‚แ…ฉ แ„’แ…ชแ†ฏแ„‹แ…ญแ†ผ แ„‰แ…ตแ†ฏแ„‰แ…ณแ†ธ
ย 
3D ํ”„๋ฆฐํ„ฐ์™€ ์•„๋‘์ด๋…ธ
3D ํ”„๋ฆฐํ„ฐ์™€ ์•„๋‘์ด๋…ธ3D ํ”„๋ฆฐํ„ฐ์™€ ์•„๋‘์ด๋…ธ
3D ํ”„๋ฆฐํ„ฐ์™€ ์•„๋‘์ด๋…ธ
ย 
ํŽŒ์›จ์–ด์ถ”์ถœ ๊ธฐ์ดˆ
ํŽŒ์›จ์–ด์ถ”์ถœ ๊ธฐ์ดˆํŽŒ์›จ์–ด์ถ”์ถœ ๊ธฐ์ดˆ
ํŽŒ์›จ์–ด์ถ”์ถœ ๊ธฐ์ดˆ
ย 
[์กฐ์ง„ํ˜„] [Kgc2011]direct x11 ์ด์•ผ๊ธฐ
[์กฐ์ง„ํ˜„] [Kgc2011]direct x11 ์ด์•ผ๊ธฐ[์กฐ์ง„ํ˜„] [Kgc2011]direct x11 ์ด์•ผ๊ธฐ
[์กฐ์ง„ํ˜„] [Kgc2011]direct x11 ์ด์•ผ๊ธฐ
ย 

More from ๋ด‰์กฐ ๊น€

์ฐฝ์˜ยท์œตํ•ฉยท๋ฌธ์ œํ•ด๊ฒฐ์„ ๋ฐฐ์šฐ๋Š” ๋ฉ”์ด์ปค ํ™œ๋™ ๋ฆฌ์ŠคํŠธ
์ฐฝ์˜ยท์œตํ•ฉยท๋ฌธ์ œํ•ด๊ฒฐ์„ ๋ฐฐ์šฐ๋Š” ๋ฉ”์ด์ปค ํ™œ๋™ ๋ฆฌ์ŠคํŠธ์ฐฝ์˜ยท์œตํ•ฉยท๋ฌธ์ œํ•ด๊ฒฐ์„ ๋ฐฐ์šฐ๋Š” ๋ฉ”์ด์ปค ํ™œ๋™ ๋ฆฌ์ŠคํŠธ
์ฐฝ์˜ยท์œตํ•ฉยท๋ฌธ์ œํ•ด๊ฒฐ์„ ๋ฐฐ์šฐ๋Š” ๋ฉ”์ด์ปค ํ™œ๋™ ๋ฆฌ์ŠคํŠธ
๋ด‰์กฐ ๊น€
ย 
Softboxcoding brand name
Softboxcoding brand nameSoftboxcoding brand name
Softboxcoding brand name
๋ด‰์กฐ ๊น€
ย 
Weather station performance sharing
Weather station performance sharingWeather station performance sharing
Weather station performance sharing
๋ด‰์กฐ ๊น€
ย 
Aircleaner 20190616 - ๋ฏธ์„ธ๋จผ์ง€ ๊ณต๊ธฐ์ฒญ์ •๊ธฐ ๋ฉ”์ด์ปคํ™œ๋™ ์ œ์•ˆ์„œ
Aircleaner 20190616 - ๋ฏธ์„ธ๋จผ์ง€ ๊ณต๊ธฐ์ฒญ์ •๊ธฐ ๋ฉ”์ด์ปคํ™œ๋™ ์ œ์•ˆ์„œAircleaner 20190616 - ๋ฏธ์„ธ๋จผ์ง€ ๊ณต๊ธฐ์ฒญ์ •๊ธฐ ๋ฉ”์ด์ปคํ™œ๋™ ์ œ์•ˆ์„œ
Aircleaner 20190616 - ๋ฏธ์„ธ๋จผ์ง€ ๊ณต๊ธฐ์ฒญ์ •๊ธฐ ๋ฉ”์ด์ปคํ™œ๋™ ์ œ์•ˆ์„œ
๋ด‰์กฐ ๊น€
ย 
Softbox coding - raspberrypi3 b+ 2019 Lecture File
Softbox coding - raspberrypi3 b+ 2019 Lecture File Softbox coding - raspberrypi3 b+ 2019 Lecture File
Softbox coding - raspberrypi3 b+ 2019 Lecture File
๋ด‰์กฐ ๊น€
ย 
Sw education and maker
Sw education and makerSw education and maker
Sw education and maker
๋ด‰์กฐ ๊น€
ย 
์†Œํ”„ํŠธ๋ฐ•์Šค ๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด ๊ต์œกํ‚คํŠธ ๊ฐœ๋ฐœํ™˜๊ฒฝ ์„ค์ •
์†Œํ”„ํŠธ๋ฐ•์Šค ๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด ๊ต์œกํ‚คํŠธ ๊ฐœ๋ฐœํ™˜๊ฒฝ ์„ค์ •์†Œํ”„ํŠธ๋ฐ•์Šค ๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด ๊ต์œกํ‚คํŠธ ๊ฐœ๋ฐœํ™˜๊ฒฝ ์„ค์ •
์†Œํ”„ํŠธ๋ฐ•์Šค ๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด ๊ต์œกํ‚คํŠธ ๊ฐœ๋ฐœํ™˜๊ฒฝ ์„ค์ •
๋ด‰์กฐ ๊น€
ย 
Expansion of maker culture and promotion of maker activity
Expansion of maker culture and promotion of maker activityExpansion of maker culture and promotion of maker activity
Expansion of maker culture and promotion of maker activity
๋ด‰์กฐ ๊น€
ย 
2018๋…„ ๋”ฐ๋ณต๊ณต๋™์ฒด ํ™œ๋™ ๊ณต์œ  - ๊ณผ์ฒœ ๋””์ง€ํ„ธ ์ฐฝ์ž‘์ง‘๋‹จ
2018๋…„ ๋”ฐ๋ณต๊ณต๋™์ฒด ํ™œ๋™ ๊ณต์œ  - ๊ณผ์ฒœ ๋””์ง€ํ„ธ ์ฐฝ์ž‘์ง‘๋‹จ2018๋…„ ๋”ฐ๋ณต๊ณต๋™์ฒด ํ™œ๋™ ๊ณต์œ  - ๊ณผ์ฒœ ๋””์ง€ํ„ธ ์ฐฝ์ž‘์ง‘๋‹จ
2018๋…„ ๋”ฐ๋ณต๊ณต๋™์ฒด ํ™œ๋™ ๊ณต์œ  - ๊ณผ์ฒœ ๋””์ง€ํ„ธ ์ฐฝ์ž‘์ง‘๋‹จ
๋ด‰์กฐ ๊น€
ย 
๊ฐ•์‚ฌ๋ฃŒ ์›์ฒœ์ง•์ˆ˜ ๊ด€๋ จ ์„ค๋ช…์ž๋ฃŒ
๊ฐ•์‚ฌ๋ฃŒ ์›์ฒœ์ง•์ˆ˜ ๊ด€๋ จ ์„ค๋ช…์ž๋ฃŒ๊ฐ•์‚ฌ๋ฃŒ ์›์ฒœ์ง•์ˆ˜ ๊ด€๋ จ ์„ค๋ช…์ž๋ฃŒ
๊ฐ•์‚ฌ๋ฃŒ ์›์ฒœ์ง•์ˆ˜ ๊ด€๋ จ ์„ค๋ช…์ž๋ฃŒ
๋ด‰์กฐ ๊น€
ย 
Softbox review and quickstartguide-20180926
Softbox review and quickstartguide-20180926Softbox review and quickstartguide-20180926
Softbox review and quickstartguide-20180926
๋ด‰์กฐ ๊น€
ย 
๋””์ง€ํ„ธ์ฐฝ์ž‘์ง‘๋‹จ ํ™œ๋™ ์†Œ๊ฐœ๋ฌธ์„œ
๋””์ง€ํ„ธ์ฐฝ์ž‘์ง‘๋‹จ ํ™œ๋™ ์†Œ๊ฐœ๋ฌธ์„œ๋””์ง€ํ„ธ์ฐฝ์ž‘์ง‘๋‹จ ํ™œ๋™ ์†Œ๊ฐœ๋ฌธ์„œ
๋””์ง€ํ„ธ์ฐฝ์ž‘์ง‘๋‹จ ํ™œ๋™ ์†Œ๊ฐœ๋ฌธ์„œ
๋ด‰์กฐ ๊น€
ย 
Softbox arduino software education, softbox ์†Œํ”„ํŠธ๋ฐ•์Šค ์ œํ’ˆ์†Œ๊ฐœ์„œ
Softbox arduino software education, softbox ์†Œํ”„ํŠธ๋ฐ•์Šค ์ œํ’ˆ์†Œ๊ฐœ์„œ Softbox arduino software education, softbox ์†Œํ”„ํŠธ๋ฐ•์Šค ์ œํ’ˆ์†Œ๊ฐœ์„œ
Softbox arduino software education, softbox ์†Œํ”„ํŠธ๋ฐ•์Šค ์ œํ’ˆ์†Œ๊ฐœ์„œ
๋ด‰์กฐ ๊น€
ย 
Stuffed animals 20180605
Stuffed animals 20180605Stuffed animals 20180605
Stuffed animals 20180605
๋ด‰์กฐ ๊น€
ย 
20180329 reco computer for maker
20180329 reco computer for maker20180329 reco computer for maker
20180329 reco computer for maker
๋ด‰์กฐ ๊น€
ย 
2018 donga marathon training schedule
2018 donga marathon training schedule2018 donga marathon training schedule
2018 donga marathon training schedule
๋ด‰์กฐ ๊น€
ย 
2017 marathob trainning schedule
2017 marathob trainning schedule2017 marathob trainning schedule
2017 marathob trainning schedule
๋ด‰์กฐ ๊น€
ย 
Marathon safe guide
Marathon safe guideMarathon safe guide
Marathon safe guide
๋ด‰์กฐ ๊น€
ย 
์‚ฌ๋ฌผ์ธํ„ฐ๋„ท์„œ๋น„์Šค์™€ ํด๋ผ์šฐ๋“œ
์‚ฌ๋ฌผ์ธํ„ฐ๋„ท์„œ๋น„์Šค์™€ ํด๋ผ์šฐ๋“œ์‚ฌ๋ฌผ์ธํ„ฐ๋„ท์„œ๋น„์Šค์™€ ํด๋ผ์šฐ๋“œ
์‚ฌ๋ฌผ์ธํ„ฐ๋„ท์„œ๋น„์Šค์™€ ํด๋ผ์šฐ๋“œ
๋ด‰์กฐ ๊น€
ย 
4์ฐจ ์‚ฐ์—…ํ˜๋ช…๊ณผ io t 20170919
4์ฐจ ์‚ฐ์—…ํ˜๋ช…๊ณผ io t  201709194์ฐจ ์‚ฐ์—…ํ˜๋ช…๊ณผ io t  20170919
4์ฐจ ์‚ฐ์—…ํ˜๋ช…๊ณผ io t 20170919
๋ด‰์กฐ ๊น€
ย 

More from ๋ด‰์กฐ ๊น€ (20)

์ฐฝ์˜ยท์œตํ•ฉยท๋ฌธ์ œํ•ด๊ฒฐ์„ ๋ฐฐ์šฐ๋Š” ๋ฉ”์ด์ปค ํ™œ๋™ ๋ฆฌ์ŠคํŠธ
์ฐฝ์˜ยท์œตํ•ฉยท๋ฌธ์ œํ•ด๊ฒฐ์„ ๋ฐฐ์šฐ๋Š” ๋ฉ”์ด์ปค ํ™œ๋™ ๋ฆฌ์ŠคํŠธ์ฐฝ์˜ยท์œตํ•ฉยท๋ฌธ์ œํ•ด๊ฒฐ์„ ๋ฐฐ์šฐ๋Š” ๋ฉ”์ด์ปค ํ™œ๋™ ๋ฆฌ์ŠคํŠธ
์ฐฝ์˜ยท์œตํ•ฉยท๋ฌธ์ œํ•ด๊ฒฐ์„ ๋ฐฐ์šฐ๋Š” ๋ฉ”์ด์ปค ํ™œ๋™ ๋ฆฌ์ŠคํŠธ
ย 
Softboxcoding brand name
Softboxcoding brand nameSoftboxcoding brand name
Softboxcoding brand name
ย 
Weather station performance sharing
Weather station performance sharingWeather station performance sharing
Weather station performance sharing
ย 
Aircleaner 20190616 - ๋ฏธ์„ธ๋จผ์ง€ ๊ณต๊ธฐ์ฒญ์ •๊ธฐ ๋ฉ”์ด์ปคํ™œ๋™ ์ œ์•ˆ์„œ
Aircleaner 20190616 - ๋ฏธ์„ธ๋จผ์ง€ ๊ณต๊ธฐ์ฒญ์ •๊ธฐ ๋ฉ”์ด์ปคํ™œ๋™ ์ œ์•ˆ์„œAircleaner 20190616 - ๋ฏธ์„ธ๋จผ์ง€ ๊ณต๊ธฐ์ฒญ์ •๊ธฐ ๋ฉ”์ด์ปคํ™œ๋™ ์ œ์•ˆ์„œ
Aircleaner 20190616 - ๋ฏธ์„ธ๋จผ์ง€ ๊ณต๊ธฐ์ฒญ์ •๊ธฐ ๋ฉ”์ด์ปคํ™œ๋™ ์ œ์•ˆ์„œ
ย 
Softbox coding - raspberrypi3 b+ 2019 Lecture File
Softbox coding - raspberrypi3 b+ 2019 Lecture File Softbox coding - raspberrypi3 b+ 2019 Lecture File
Softbox coding - raspberrypi3 b+ 2019 Lecture File
ย 
Sw education and maker
Sw education and makerSw education and maker
Sw education and maker
ย 
์†Œํ”„ํŠธ๋ฐ•์Šค ๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด ๊ต์œกํ‚คํŠธ ๊ฐœ๋ฐœํ™˜๊ฒฝ ์„ค์ •
์†Œํ”„ํŠธ๋ฐ•์Šค ๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด ๊ต์œกํ‚คํŠธ ๊ฐœ๋ฐœํ™˜๊ฒฝ ์„ค์ •์†Œํ”„ํŠธ๋ฐ•์Šค ๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด ๊ต์œกํ‚คํŠธ ๊ฐœ๋ฐœํ™˜๊ฒฝ ์„ค์ •
์†Œํ”„ํŠธ๋ฐ•์Šค ๋ผ์ฆˆ๋ฒ ๋ฆฌํŒŒ์ด ๊ต์œกํ‚คํŠธ ๊ฐœ๋ฐœํ™˜๊ฒฝ ์„ค์ •
ย 
Expansion of maker culture and promotion of maker activity
Expansion of maker culture and promotion of maker activityExpansion of maker culture and promotion of maker activity
Expansion of maker culture and promotion of maker activity
ย 
2018๋…„ ๋”ฐ๋ณต๊ณต๋™์ฒด ํ™œ๋™ ๊ณต์œ  - ๊ณผ์ฒœ ๋””์ง€ํ„ธ ์ฐฝ์ž‘์ง‘๋‹จ
2018๋…„ ๋”ฐ๋ณต๊ณต๋™์ฒด ํ™œ๋™ ๊ณต์œ  - ๊ณผ์ฒœ ๋””์ง€ํ„ธ ์ฐฝ์ž‘์ง‘๋‹จ2018๋…„ ๋”ฐ๋ณต๊ณต๋™์ฒด ํ™œ๋™ ๊ณต์œ  - ๊ณผ์ฒœ ๋””์ง€ํ„ธ ์ฐฝ์ž‘์ง‘๋‹จ
2018๋…„ ๋”ฐ๋ณต๊ณต๋™์ฒด ํ™œ๋™ ๊ณต์œ  - ๊ณผ์ฒœ ๋””์ง€ํ„ธ ์ฐฝ์ž‘์ง‘๋‹จ
ย 
๊ฐ•์‚ฌ๋ฃŒ ์›์ฒœ์ง•์ˆ˜ ๊ด€๋ จ ์„ค๋ช…์ž๋ฃŒ
๊ฐ•์‚ฌ๋ฃŒ ์›์ฒœ์ง•์ˆ˜ ๊ด€๋ จ ์„ค๋ช…์ž๋ฃŒ๊ฐ•์‚ฌ๋ฃŒ ์›์ฒœ์ง•์ˆ˜ ๊ด€๋ จ ์„ค๋ช…์ž๋ฃŒ
๊ฐ•์‚ฌ๋ฃŒ ์›์ฒœ์ง•์ˆ˜ ๊ด€๋ จ ์„ค๋ช…์ž๋ฃŒ
ย 
Softbox review and quickstartguide-20180926
Softbox review and quickstartguide-20180926Softbox review and quickstartguide-20180926
Softbox review and quickstartguide-20180926
ย 
๋””์ง€ํ„ธ์ฐฝ์ž‘์ง‘๋‹จ ํ™œ๋™ ์†Œ๊ฐœ๋ฌธ์„œ
๋””์ง€ํ„ธ์ฐฝ์ž‘์ง‘๋‹จ ํ™œ๋™ ์†Œ๊ฐœ๋ฌธ์„œ๋””์ง€ํ„ธ์ฐฝ์ž‘์ง‘๋‹จ ํ™œ๋™ ์†Œ๊ฐœ๋ฌธ์„œ
๋””์ง€ํ„ธ์ฐฝ์ž‘์ง‘๋‹จ ํ™œ๋™ ์†Œ๊ฐœ๋ฌธ์„œ
ย 
Softbox arduino software education, softbox ์†Œํ”„ํŠธ๋ฐ•์Šค ์ œํ’ˆ์†Œ๊ฐœ์„œ
Softbox arduino software education, softbox ์†Œํ”„ํŠธ๋ฐ•์Šค ์ œํ’ˆ์†Œ๊ฐœ์„œ Softbox arduino software education, softbox ์†Œํ”„ํŠธ๋ฐ•์Šค ์ œํ’ˆ์†Œ๊ฐœ์„œ
Softbox arduino software education, softbox ์†Œํ”„ํŠธ๋ฐ•์Šค ์ œํ’ˆ์†Œ๊ฐœ์„œ
ย 
Stuffed animals 20180605
Stuffed animals 20180605Stuffed animals 20180605
Stuffed animals 20180605
ย 
20180329 reco computer for maker
20180329 reco computer for maker20180329 reco computer for maker
20180329 reco computer for maker
ย 
2018 donga marathon training schedule
2018 donga marathon training schedule2018 donga marathon training schedule
2018 donga marathon training schedule
ย 
2017 marathob trainning schedule
2017 marathob trainning schedule2017 marathob trainning schedule
2017 marathob trainning schedule
ย 
Marathon safe guide
Marathon safe guideMarathon safe guide
Marathon safe guide
ย 
์‚ฌ๋ฌผ์ธํ„ฐ๋„ท์„œ๋น„์Šค์™€ ํด๋ผ์šฐ๋“œ
์‚ฌ๋ฌผ์ธํ„ฐ๋„ท์„œ๋น„์Šค์™€ ํด๋ผ์šฐ๋“œ์‚ฌ๋ฌผ์ธํ„ฐ๋„ท์„œ๋น„์Šค์™€ ํด๋ผ์šฐ๋“œ
์‚ฌ๋ฌผ์ธํ„ฐ๋„ท์„œ๋น„์Šค์™€ ํด๋ผ์šฐ๋“œ
ย 
4์ฐจ ์‚ฐ์—…ํ˜๋ช…๊ณผ io t 20170919
4์ฐจ ์‚ฐ์—…ํ˜๋ช…๊ณผ io t  201709194์ฐจ ์‚ฐ์—…ํ˜๋ช…๊ณผ io t  20170919
4์ฐจ ์‚ฐ์—…ํ˜๋ช…๊ณผ io t 20170919
ย 

Avr lecture2

  • 2. --22--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming ATMEGA128 I/OATMEGA128 I/O ์ œ์–ด์ œ์–ด 1. Input/Output ์ฒ˜๋ฆฌ ๋ฐฉ๋ฒ• 2. I/O ์ œ์–ด ํ”„๋กœ๊ทธ๋žจ ์‹ค์Šต
  • 3. --33--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-1 I/O ํฌํŠธ์˜ ๊ธฐ๋ณธ ๊ตฌ์กฐ 1 โŠ™ 6๊ฐœ์˜ 8๋น„ํŠธ ์–‘๋ฐฉํ–ฅ ๋ณ‘๋ ฌ I/OํฌํŠธ (A ~ F) ์™€ 1๊ฐœ์˜ 5๋น„ํŠธ ๋ณ‘๋ ฌ I/OํฌํŠธ(G)๋กœ ๊ตฌ์„ฑ โŠ™ Read-Modify-Write ๋™์ž‘ ๊ฐ€๋Šฅ(A ~ E) โŠ™ H์ƒํƒœ์˜ source drive ์™€ L์ƒํƒœ์˜ sink drive ๋Šฅ๋ ฅ์ด ๋Œ€์นญ์  โŠ™ ์ตœ๋Œ€ ๊ตฌ๋™์ „๋ฅ˜ (sinks up to 40 mA) โŠ™ ํ’€์—…์ €ํ•ญ์˜ ์‚ฌ์šฉ ์—ฌ๋ถ€๋ฅผ ์„ค์ •(Pinwise Controlled Pull-Up Resistors) โŠ™ ๋ฐ์ดํ„ฐ ์ž…์ถœ๋ ฅ๋ฐฉํ–ฅ์„ ์„ค์ •(Pinwise Controlled Data Direction) โŠ™ Three Control/Status Bits per Bit/Pin
  • 4. --44--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-1 I/O ํฌํŠธ์˜ ๊ธฐ๋ณธ ๊ตฌ์กฐ 2 โ˜ž ๊ฐ ํฌํŠธ๋Š” 3๊ฐœ์˜ I/O ๋ ˆ์ง€์Šคํ„ฐ ์˜์—ญ์œผ๋กœ ๊ตฌ์„ฑ โŠ™ DDRx (Data Direction Register) : ์ž…์ถœ๋ ฅ์˜ ๋ฐฉํ–ฅ์„ ์„ค์ • โŠ™ PORTx (Data Register) : ๋ฐ์ดํ„ฐ ์ถœ๋ ฅ์— ํ•ด๋‹นํ•˜๋Š” PORTx ๋ ˆ์ง€์Šคํ„ฐ โŠ™ PINx (Port Input Pins Address) : ํฌํŠธ ์ž…๋ ฅ ํ•€์— ํ•ด๋‹นํ•˜๋Š” PINx ๋ ˆ์ง€์Šคํ„ฐ โŠ™ DDR, PORT ๋Š” ์ฝ๊ณ  ์“ฐ๋Š” ๊ฒƒ์ด ๊ฐ€๋Šฅ, PIN์€ ์ฝ๋Š” ๊ฒƒ๋งŒ ๊ฐ€๋Šฅํ•œ ๋ ˆ์ง€์Šคํ„ฐ โŠ™ I/OํฌํŠธ์˜ ํ’€์—…์ €ํ•ญ์€ SFIOR ๋ ˆ์ง€์Šคํ„ฐ์˜ PUD(Pull-up Disable) ๋น„ํŠธ๋ฅผ 1๋กœ ์…‹ํ•˜์—ฌ ๊ธˆ์ง€ ๋‚ด๋ถ€ ํ’€์—… ์ €ํ•ญ์„ ์‚ฌ์šฉํ•˜๋ ค๋ฉด PUD๋น„ํŠธ๋ฅผ 0๋กœ ์„ค์ •(DDRx = 0, PORTx = 1)
  • 5. --55--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-2 I/O ํฌํŠธ์˜ ๊ธฐ๋ณธ ๋™์ž‘ โ˜ž I/O ํฌํŠธ ํ•€์˜ ๋™์ž‘ ์„ค์ • H์ถœ๋ ฅ(source)NoOutputX11 L์ถœ๋ ฅ(sink)NoOutputX01 3-State(ํ•˜์ด ์ž„ํ”ผ๋˜์Šค)NoInput110 ๋‚ด๋ถ€ ํ’€์—…YesInput010 3-State(ํ•˜์ด ์ž„ํ”ผ๋˜์Šค)NoInputX00 ๋น„๊ณ Pull-upI/OPUDPORTxnDDRxn
  • 6. --66--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-2 I/O ํฌํŠธ์˜ ๊ธฐ๋ณธ ๋™์ž‘ 1 DDx PORTx PINx Physical Pin Pull-Up Direction: INPUT Pull-Up: OFF ?? 0 0 Default Configuration
  • 7. --77--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-2 I/O ํฌํŠธ์˜ ๊ธฐ๋ณธ ๋™์ž‘ 2 Switch On Pull-Up DDx PORTx PINx Physical Pin Pull-Up Direction: INPUT Pull-Up: ON ?? 0 11
  • 8. --88--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-2 I/O ํฌํŠธ์˜ ๊ธฐ๋ณธ ๋™์ž‘ 3 Port is Output DDx PORTx PINx Physical Pin Pull-Up 11 1 11 11 1 Direction: OUTPUT Pull-Up: OFF
  • 9. --99--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘ โ‘  ํฌํŠธํฌํŠธ AA โ–ถ ์™ธ๋ถ€๋ฉ”๋ชจ๋ฆฌ๋ฅผ ์ธํ„ฐํŽ˜์ด์Šค ์œ„ํ•œ ๋ฐ์ดํ„ฐ๋ฒ„์Šค ๋ฐ ์–ด๋“œ๋ ˆ์Šค ๋ฒ„์Šค์˜ ํ•˜์œ„ ๋ฐ”์ดํŠธ๋กœ ๋™์ž‘ โ–ถ ์–ด๋“œ๋ ˆ์Šค ๋ฒ„์Šค๋ฅผ ๋ถ„๋ฆฌํ•˜๋Š”๋ฐ ALE(Address Latch Enable)์‹ ํ˜ธ๋ฅผ ์‚ฌ์šฉ AD0 (External memory interface address and data bit 0)PA0 AD1 (External memory interface address and data bit 1)PA1 AD2 (External memory interface address and data bit 2)PA2 AD3 (External memory interface address and data bit 3)PA3 AD4 (External memory interface address and data bit 4)PA4 AD5 (External memory interface address and data bit 5)PA5 AD6 (External memory interface address and data bit 6)PA6 AD7 (External memory interface address and data bit 7)PA7 ๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
  • 10. --1010--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘ โ‘ก ํฌํŠธํฌํŠธ BB โ–ถ ํƒ€์ด๋จธ/์นด์šดํ„ฐ๋‚˜ SPI ๊ธฐ๋Šฅ์„ ์œ„ํ•œ ์‹ ํ˜ธ๋“ค๋กœ ๋™์ž‘ /SS (SPI Slave Select Input)PB0 SCK (SPI Bus Serial Clock)PB1 MOSI (SPI Bus Master Output/Slave Input)PB2 MISO (SPI Bus Master Input/Slave Output)PB3 OC0 (Output compare and PWM Output for Timer/Counter0)PB4 OC1A (Output compare and PWM Output A for Timer/Counter2)PB5 OC1B (Output compare and PWM Output B for Timer/Counter1)PB6 OC2/OC1C (Timer/Counter2 or Timer/Counter1)PB7 ๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
  • 11. --1111--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘ โ‘ข ํฌํŠธํฌํŠธ CC โ–ถ ์™ธ๋ถ€ ๋ฉ”๋ชจ๋ฆฌ๋ฅผ ์ธํ„ฐํŽ˜์ด์Šคํ•˜๊ธฐ ์œ„ํ•œ ์–ด๋“œ๋ ˆ์Šค ๋ฒ„์Šค์˜ ์ƒ์œ„ ๋ฐ”์ดํŠธ๋กœ ๋™์ž‘ A8 (External memory interface address and data bit 8)PC0 A9 (External memory interface address and data bit 9)PC1 A10 (External memory interface address and data bit 10)PC2 A11 (External memory interface address and data bit 11)PC3 A12 (External memory interface address and data bit 12)PC4 A13 (External memory interface address and data bit 13)PC5 A14 (External memory interface address and data bit 14)PC6 A15(External memory interface address and data bit 15)PC 7 ๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
  • 12. --1212--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘ โ‘ฃ ํฌํŠธํฌํŠธ DD โ–ถ ํƒ€์ด๋จธ/์นด์šดํ„ฐ๋‚˜ ์™ธ๋ถ€ ์ธํ„ฐ๋ŸฝํŠธ ๋˜๋Š” USART1, TWI ์ง๋ ฌํ†ต์‹  ํฌํŠธ ๊ธฐ๋Šฅ์„ ์œ„ํ•œ ์‹ ํ˜ธ๋“ค๋กœ ๋™์ž‘ INT0/SCL(External Interrupt0 Input or TWI Serial Clock)PD0 INT1/SDA(External Interrupt1 Input or TWI Serial Data)PD1 INT2/RXD1(External Interrupt2 Input or USART1 Receive Data)PD2 INT3/TXD1(External Interrupt3 Input or USART1 Transmit Data)PD3 ICP1(Timer/Counter1 Input Capture Pin)PD4 XCK1(USART1 External Clock Input/Output)PD5 T1(Timer/Counter1 Clock Input)PD6 T2(Timer/Counter2 clock Input)PD 7 ๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
  • 13. --1313--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘ โ‘ค ํฌํŠธํฌํŠธ EE โ–ถ ํƒ€์ด๋จธ/์นด์šดํ„ฐ๋‚˜ ์™ธ๋ถ€์ธํ„ฐ๋ŸฝํŠธ ๋˜๋Š” USART0 ์ง๋ ฌํ†ต์‹ ํฌํŠธ, ์•„๋‚ ๋กœ๊ทธ ๋น„๊ต๊ธฐ, ISP ๊ธฐ๋Šฅ์„ ์œ„ํ•œ ์‹ ํ˜ธ๋กœ ๋™์ž‘ PDI/RXD0(ISP Programming Data Input or USART0 Receive Data)PE0 PDO/TXD0(ISP Programming Data Output or USART0 Transmit Data)PE1 AIN0/ACK0 (Analog Comparator Positive Input or USART0 External Clock Input/Output) PE2 AIN1/OC3A(Analog Comparator Negative Input or Output Compare and PWM Output A for Timer/Couter3) PE3 INT4/OC3B(External Interrupt4 Input or Output compare and PWM Output B for Timer/Counter3) PE4 INT5/OC3C(External Interrupt5 Input or Output compare and PWM Output C for Timer/Counter3) PE5 INT6/T3(External Interrupt6 Input or Timer/Counter3 Clock Input)PE6 INT7/ICP3(External Interrupt7 Input or Timer/Couter3 Input Capture Pin)PE 7 ๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
  • 14. --1414--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘ โ‘ฅ ํฌํŠธํฌํŠธ FF โ–ถ A/D ์ปจ๋ฒ„ํ„ฐ, JTAG ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ ์œ„ํ•œ ์‹ ํ˜ธ๋กœ ๋™์ž‘ ADC0 (ADC Input Channel 0)PF0 ADC1 (ADC Input Channel 1)PF1 ADC2 (ADC Input Channel 2)PF2 ADC3 (ADC Input Channel 3)PF3 ADC4/TCK (ADC Input Channel 4 or JTAG Test Clock)PF4 ADC5/TMS (ADC Input Channel 5 or JTAG Test Mode Select)PF5 ADC6/TDO (ADC Input Channel 6 or JTAG Test Data Output)PF6 ADC7/TD I(ADC Input Channel 7 or JTAG Test Data Input)PF 7 ๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
  • 15. --1515--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-3 I/O ํฌํŠธ์˜ ๋ถ€์ˆ˜์  ๋™์ž‘ โ‘ฆ ํฌํŠธํฌํŠธ GG โ–ถ PG4 ~ PG0์˜ 5๋น„ํŠธ๋งŒ ์‚ฌ์šฉ๋˜๋ฉฐ ๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋Šฅ์€ ์™ธ๋ถ€๋ฉ”๋ชจ๋ฆฌ ์ธํ„ฐํŽ˜์ด์Šค๋‚˜ ํƒ€์ด๋จธ/์นด์šดํ„ฐ๋กœ ๋™์ž‘ /WR (Write Strobe to External Memory)PG0 /RD (Read Strobe to External Memory)PG1 ALE (Address Latch Enable to External Memory)PG2 TOSC2 (RTC Oscillator Output for Timer/Counter0)PG3 TOSC1 (RTC Oscillator Input for Timer/Counter0)PG4 ๋ถ€์ˆ˜์ ์ธ ๊ธฐ๋ŠฅI/O PIN
  • 16. --1616--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-4 I/O ํฌํŠธ์˜ ์ œ์–ด ์‹ค์Šต 1 โ˜ž ํฌํŠธB์— LED๋ฅผ ์—ฐ๊ฒฐํ•˜๊ณ  ์™ผ์ชฝ์œผ๋กœ ์ด๋™ํ•˜๋ฉฐ ์ˆœ์ฐจ์ ์œผ๋กœ ON/OFFํ•˜๋Š” ํ”„๋กœ๊ทธ๋žจ #include <mega128.h> #include <delay.h> void main(void) { unsigned char led = 0xfe ; // ๋ณ€์ˆ˜์˜ ์ •์˜ DDRB = 0xff ; // ํฌํŠธB๋ฅผ ๋ชจ๋‘ ์ถœ๋ ฅ์œผ๋กœ ์ •์˜ PORTB = 0x00 ; // ์ฒ˜์Œ ๋™์ž‘์€ PORTB์— ์—ฐ๊ฒฐ๋œ LED ๋ชจ๋‘ ์ผœ๊ธฐ delay_ms(5000); // delay()ํ•จ์ˆ˜๋ฅผ ์ด์šฉํ•˜์—ฌ ์•ฝ 5์ดˆ๊ฐ€ LED ON ์ƒํƒœ ์œ ์ง€ while (1) { PORTB = led ; // PORTB์— LED ์ถœ๋ ฅ ์ง€์ • delay_ms(3000); // 3์ดˆ ๋”œ๋ ˆ์ด led << = 1 ; // ์ขŒ์‰ฌํ”„ํŠธ 1BIT led |= 0x01 ; // 1๋น„ํŠธ ์ขŒ SHIFTE ํ›„ 0X01 ๊ฐ’์„ ๋…ผ๋ฆฌํ•ฉ์œผ๋กœ ํ•จ์œผ๋กœ์จ // 0์˜ ๊ฐ’์„ 1๋กœ ์…‹ํŠธํ•จ if (led == 0xff) led = 0xfe ; // ๋ชจ๋“  ๊ฐ’์ด 1์ผ ๋˜๋ฉด LED ON ์ด ๋˜์ง€ ์•Š์œผ๋ฏ€๋กœ // LED ๋ณ€์ˆ˜ ์ดˆ๊ธฐํ™” } }
  • 17. --1717--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-4 I/O ํฌํŠธ์˜ ์ œ์–ด ์‹ค์Šต 2 โ˜ž ํฌํŠธB์— LED๋ฅผ ์—ฐ๊ฒฐํ•˜๊ณ  ์ขŒ์šฐ ์‰ฌํ”„ํŠธ ON/OFF ํ•˜๋Š” ํ”„๋กœ๊ทธ๋žจ #include <mega128.h> #include <delay.h> void main(void) { unsigned char led = 0xfe ; // ๋ณ€์ˆ˜์˜ ์ •์˜ DDRB = 0xff ; // ํฌํŠธB๋ฅผ ๋ชจ๋‘ ์ถœ๋ ฅ์œผ๋กœ ์ •์˜ PORTB = 0x00 ; // ์ฒ˜์Œ ๋™์ž‘์€ PORTB์— ์—ฐ๊ฒฐ๋œ LED ๋ชจ๋‘ ์ผœ๊ธฐ delay_ms(3000); // delay()ํ•จ์ˆ˜๋ฅผ ์ด์šฉํ•˜์—ฌ ์•ฝ 3์ดˆ๊ฐ„ LED ON ์ƒํƒœ ์œ ์ง€ while (1) { do // do ~ while๋ฌธ ์‹คํ–‰ { PORTB = led ; // PORTB์— LED ์ถœ๋ ฅ ์ง€์ • delay_ms(1000); led << = 1 ; // ์ขŒ์‰ฌํ”„ํŠธ 1BIT led |= 0x01 ; // 1๋น„ํŠธ ์ขŒ SHIFTE ํ›„ 0X01 ๊ฐ’์„ ๋…ผ๋ฆฌํ•ฉ์œผ๋กœ ํ•จ์œผ๋กœ์จ 0์˜ ๊ฐ’์„ 1๋กœ ์…‹ํŠธํ•จ } while (led != 0x7f) ; // led๊ฐ€ ์ขŒ์ธก ์‰ฌํ”„ํŠธ ๋งˆ์ง€๋ง‰์— ์˜ค๋ฉด ๋‹ค์Œ ๋ฃจํ‹ด์„ ์‹คํ–‰ do { PORTB = led ; // PORTB์— LED ์ถœ๋ ฅ ์ง€์ • delay_ms(1000) ; led >>= 1 ; // ์šฐ์‰ฌํ”„ํŠธ 1BIT led |= 0x80 ; // 1๋น„ํŠธ ์šฐ SHIFTE ํ›„ 0X80 ๊ฐ’์„ ๋…ผ๋ฆฌํ•ฉ์œผ๋กœ ํ•จ์œผ๋กœ์จ 0์˜ ๊ฐ’์„ 1๋กœ ์…‹ํŠธํ•จ } while (led != 0xfe) ; // led๊ฐ€ ์šฐ์ธก ์‰ฌํ”„ํŠธ ๋งˆ์ง€๋ง‰์— ์˜ค๋ฉด ๋‹ค์Œ ๋ฃจํ‹ด์„ ์‹คํ–‰ } }
  • 18. --1818--20062006--0303--2929 Embedded AVR ProgrammingEmbedded AVR Programming 1-4 I/O ํฌํŠธ์˜ ์ œ์–ด ์‹ค์Šต 3 โ˜ž ํฌํŠธ C๋กœ ์™ธ๋ถ€ ์‹ ํ˜ธ๋ฅผ ์ž…๋ ฅ ๋ฐ›์•„ ํฌํŠธ B๋กœ ๋ฐ์ดํ„ฐ ๊ฐ’์„ ๋ฐ˜์ „์‹œ์ผœ ์ถœ๋ ฅํ•˜๋Š” ํ”„๋กœ๊ทธ๋žจ #include <mega128.h> void main(void) { unsigned char key ; // key์ž…๋ ฅ ๋ณ€์ˆ˜ ์„ ์–ธ MCUCR = 0x00; // ์™ธ๋ถ€ ๋ฉ”๋ชจ๋ฆฌ ๋””์Šค์—์ด๋ธ” DDRB = 0xff; // ํฌํŠธ B๋ฅผ ์ถœ๋ ฅ์œผ๋กœ ์„ค์ • DDRC = 0x00; // ํฌํŠธ C๋ฅผ ์ž…๋ ฅ์œผ๋กœ ์„ค์ • PORTC = 0xff; // ๋‚ด๋ถ€ ํ’€์—…์ €ํ•ญ ์‚ฌ์šฉ while (1) { key = PINC; // ์Šค์œ„์น˜๊ฐ€ ๋ˆŒ๋ฆด ๋•Œ ํฌํŠธ C์˜ ๊ฐ’์„ ์ฝ์–ด์™€์„œ key์— ์ €์žฅ PORTB = ~key; // key๊ฐ’์„ ํฌํŠธ B์— LED์ถœ๋ ฅ }; }