SlideShare a Scribd company logo
1 of 48
Download to read offline
A Project Report
on
Design of a novel MEMS based Acoustic Filter for Gunshot
Detection
Submitted in partial fulfilment of the requirements for the
degree of Bachelor of Engineering in
Mechanical Engineering (Final Year)
by
Ishita Mukherjee (B80200825)
Pooja Shivale Patil (B80200826)
Tejaswita Patil (B80200864)
Neha Sharma (B80200865)
Under the Guidance of
Dr. Gautam Chandekar
MKSSS’s Cummins College of Engineering for
Women, Karvenagar, Pune, Maharashtra (India)
Certificate
This is to certify that the project report entitled “Design of a MEMS based Acous-
tic Filter for Gunshot Detection” submitted by Ishita Mukherjee(B80200825),
Pooja Shivale Patil(B80200826), Tejaswita Patil(B80200864), Neha Sharma
(B80200865) for the partial fulfilment of degree of Bachelor of Engineering in
Mechanical Engineering is a record of bonafide work carried out by them under my
supervision and guidance during the period from July, 2013 to June, 2014.
Date: 09-06-2014 Dr. Gautam Chandekar
Place: Karvenagar, Pune (Guide)
Dept. of Mechanical Engineering
Dr. R. B. Ingle External Examiner
Head,
Dept. of Mechanical Engineering
MKSSS’s Cummins College of Engineering for Women, Pune
i
Certificate
This is to certify that the project report entitled “Design of a MEMS based Acous-
tic Filter for Gunshot Detection” submitted by Ishita Mukherjee(B80200825),
Pooja Shivale Patil(B80200826), Tejaswita Patil(B80200864), Neha Sharma
(B80200865) for the partial fulfilment of degree of Bachelor of Engineering in
Mechanical Engineering is a record of bonafide work carried out by them under my
supervision and guidance during the period from July, 2013 to June, 2014.
Date: 09-06-2014 Dr. Gautam Chandekar
Place: Karvenagar, Pune (Guide)
Dept. of Mechanical Engineering
Dr. R. B. Ingle External Examiner
Head,
Dept. of Mechanical Engineering
MKSSS’s Cummins College of Engineering for Women, Pune
ii
Acknowledgement
We relied heavily on the professional judgement, encouragement and guidance of both
our project guides, Dr Gautam S. Chandekar, our Project Guide from MKSSSs Cum-
mins College, and Mr.Jaising Pednekar, our Project Guide from R and DE(Engrs.),
who have helped us immensely in carrying out this project work.
We extend our sincere thanks to Madhuri Khambete, Principal, MKSSSs Cummins
College and Dr. R. B. Ingle, HoD, Mechanical Department, MKSSSs Cummins College
for providing us with the facility at college ensuring the completion of our work.
We gratefully acknowledge the facilities provided by Dr. Makarand Joshi, Head,
Composite Research Centre (CRC) Lab and Mr.Alok Mukherjee, Head, Robotics De-
velopment Centre (RDC), for carrying out the project work smoothly at the R and
DE(Engrs) Labs.
We are highly indebted to Dr.C. Ramdas and Mr. Ritesh, CRC, and to Mr. Pur-
nanand, RDC, for their assistance, timely guidance and supervision.
We are also thankful to Precious Scientific and Surgical, Pune for supplying the
necessary Laboratory Equipment at the right time.
We express our gratitude to all the other support staff from Mechanical and Instru-
mentation Departments of our college and CRC and RDC labs at the R and DE(Engrs)
for assisting us in carrying out our tests and trials as also for their ceaseless cooperation
during the studies.
June 2014 Ishita Mukherjee Pooja Shivale Patil
Place: Pune
Tejaswita Patil Neha Sharma
iii
Abstract
Acoustic signature detection finds great potential in defence as well as civilian
applications. Presently available acoustic sensors are large and generally have a wide
response over the entire acoustics range (20-20,000 Hz).
Gunshots are characterised by a specific frequency and amplitude. There is a ne-
cessity to design and develop an acoustic sensor that is tuned for gunshot detection.
A MEMS based sensor would be miniature in size and easily configurable in an array
to detect the direction and range of the source.
The scope of work includes firstly a comparative study of the traditionally available
as well as currently under research solutions of acoustic sensor. Based on the study,
a MEMS based sensor would be designed. MEMS design software packages would be
utilised to create a solid model of the sensor. After designing, the sensor would be
simulated and analysis carried out for studying its characteristics. This model would
then be used for consequent analysis of gunshot detection. On completion of designing
of a prototype of the acoustic sensor, it would then be further tried and tested.
The focus of the project would be to take into consideration the limitations of the
currently available solutions for gunshot detection and to arrive at a novel design of a
MEMS acoustic sensor. Once the basic sensor element is developed, it would facilitate
the design and development of acoustic sensor array for shot detection.
iv
Contents
Certificate I i
Certificate II ii
Acknowledgements iii
Abstract iv
1 Introduction 1
2 Review of Literature 2
2.1 MEMS and MEMS devices . . . . . . . . . . . . . . . . . . . . . . . . . 2
2.2 Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
2.3 SOI Wafer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
2.4 Facilities Sookshma laboratory . . . . . . . . . . . . . . . . . . . . . . 5
2.4.1 Cleanroom . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
2.4.2 Apparatus and General Procedure . . . . . . . . . . . . . . . . . 8
2.5 Spin Coating . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
2.6 Wafer Cleaning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
2.7 Oxidation Furnace . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.8 Oxidation of Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
2.9 Scanning Electron Microscope . . . . . . . . . . . . . . . . . . . . . . . 11
2.10 Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
2.10.1 Anisotropic TMAH (Tetramethyl Ammonium Hydroxide) Etching 12
2.11 LIGA process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
2.11.1 UV LIGA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
2.12 Sputtering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
2.13 Lithography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
2.13.1 Pattern Transfer . . . . . . . . . . . . . . . . . . . . . . . . . . 13
2.13.2 Alignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
2.13.3 Exposure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
v
2.13.4 The Lithography Module . . . . . . . . . . . . . . . . . . . . . . 16
3 Numerical Analysis: 18
3.1 Testing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
3.1.1 Gunshot Trials . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
3.2 Numerical Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
3.2.1 Diaphragm Analysis . . . . . . . . . . . . . . . . . . . . . . . . 20
4 Manufacturing: 25
4.1 Fabrication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
4.1.1 Positive Film . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
4.1.2 Jig Designing and Manufacturing . . . . . . . . . . . . . . . . . 26
4.1.3 Diaphragm Fabrication . . . . . . . . . . . . . . . . . . . . . . . 27
4.2 Packaging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31
4.2.1 Materials and Methods . . . . . . . . . . . . . . . . . . . . . . . 31
4.2.2 Results and Discussion . . . . . . . . . . . . . . . . . . . . . . . 33
5 Testing: 35
5.1 Trials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35
5.1.1 Materials and Methods . . . . . . . . . . . . . . . . . . . . . . . 35
5.1.2 Results and Discussion . . . . . . . . . . . . . . . . . . . . . . . 36
6 Conclusion 37
7 Future Scope 38
8 References 39
vi
List of Figures
2.1 Unit Cell of Silicon[1] . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
2.2 Planes and Directions[1] . . . . . . . . . . . . . . . . . . . . . . . . . . 4
2.3 Clean Room Anti-static suits[4] . . . . . . . . . . . . . . . . . . . . . . 6
2.4 Support Equipment[4] . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
2.5 Work Station at the Class 1000 Facility . . . . . . . . . . . . . . . . . . 8
2.6 A simple Resist spin coating program[6] . . . . . . . . . . . . . . . . . 9
2.7 Benchtop Spin Coater[7] . . . . . . . . . . . . . . . . . . . . . . . . . . 9
2.8 Scanning Electron Microscope[4] . . . . . . . . . . . . . . . . . . . . . . 12
2.9 Photolithography Setup[4] . . . . . . . . . . . . . . . . . . . . . . . . . 17
3.1 Trial Setup in the Saksham testing ground . . . . . . . . . . . . . . . . 19
3.2 FFT graph using MATLAB . . . . . . . . . . . . . . . . . . . . . . . . 20
3.3 Schematic of Diaphragm to be designed . . . . . . . . . . . . . . . . . . 21
3.4 Modelled Diaphragm . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
3.5 Modal Analysis Results . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
3.6 First Four Mode Shapes . . . . . . . . . . . . . . . . . . . . . . . . . . 24
4.1 Photofilm mask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
4.2 Jig Product and Model . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
4.3 Developed Wafer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
4.4 Setup for Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
4.5 Etched out wafer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31
4.6 Profile of finished wafer with smooth surface . . . . . . . . . . . . . . . 31
4.7 Profile of finished wafer with rough surface . . . . . . . . . . . . . . . . 31
4.8 SEM plot 1 of finished wafer profile . . . . . . . . . . . . . . . . . . . . 32
4.9 SEM plot 2 of finished wafer profile . . . . . . . . . . . . . . . . . . . . 32
4.10 Pro-E model of package top and circular base . . . . . . . . . . . . . . 33
4.11 3D Printed Plastic Model . . . . . . . . . . . . . . . . . . . . . . . . . 34
5.1 Trial setup at Lab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
vii
List of Tables
2.1 Properties of Silicon and other selected materials . . . . . . . . . . . . 3
3.1 Trial 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
3.2 Trial 3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
3.3 Trial 4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
3.4 Iterations Set 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
3.5 Iterations Set 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
3.6 Result Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
viii
Chapter 1
Introduction
The project concept focuses on the development of a novel MEMS based acoustic filter
for gunshot detection. The filter, thus obtained as an outcome of this study would
assist in acoustic signature detection of RAM Rocket, Artillery and Mortar in general
and gunshots in particular.
According to the currently available options, the Macro sized sensors are found to
be cumbersome and not frequency specific. Also, as per the currently under-research
Micro sized options available in this field, the major disadvantages include their reliance
on velocity factor, the huge cost and low probability of reproducibility.
The key intention of this project is to target the prevailing drawbacks in the avail-
able options and attempt to come up with a solution that would take care of them to
the best possible extent.
Going through literature, keeping in mind the drawbacks and the limitations, a
novel MEMS based acoustic filter has been designed and developed. This acoustic filter
is frequency dependent, is cost effective when mass produced and easy to manufacture
and use.
However, with further refinements as discussed in the future scope, this acoustic
filter can promise to possess higher accuracy and effectiveness in its functionality.
1
Chapter 2
Review of Literature
2.1 MEMS and MEMS devices
MEMS Micro Electro Mechanical Systems as called in the US and Microsystems in
the Europian Counterparts, literally includes; Micro establishes a dimensional scale,
electro suggests either electricity or electronics (or both), and mechanical suggests
moving parts of some kind. However, this MEMS concept has grown to encompass
much more than the basic meaning. MEMS share several common features:
1. MEMS involve both electronic and non-electronic elements, and perform func-
tions that can include signal acquisition (sensing), signal processing, actuation,
display, and control. They can also serve as vehicles for performing chemical and
biochemical reactions and assays.
2. MEMS are systems in the true sense, which means that important system issues
such as packaging, system partitioning into components, calibration, signal-to-
noise ratio, stability, and reliability must be confronted.
3. The most successful MEMS have been those which involve paradigm shifts from
the macro way of doing things, more than simply reducing the size scale.
4. Some MEMS involve large arrays of microfabricated elements.
2.2 Materials
A variety of materials have shown the ability to be successfully micromachined. These
include glasses, quartz, ceramics, silicon nitride and carbide, alloys of various metals,
and a variety of specialist materials that are used for very specific purposes. Of these,
the most commonly used material has by far been Silicon. The main reason for this is
as follows:
2
Table 2.1: Properties of Silicon and other selected materials
Property Si{1 1 1} Stainless Steel Al Al2O3 SiO2 Quartz
Young’s Modulus(GPa) 190 200 70 303 73 107
Poisson’s Ratio 0.22 0.3 0.33 0.21 0.17 0.16
Density(gm/cm3
) 2.3 8 2.7 3.8 2.3 2.6
Yield Strength(GPa) 7 3.0 0.17 9 8.4 9
Thermal Coeff of exp(10/K) 2.3 16 24 6 0.55 0.55
Thermal Cond at 300K 1.48 0.2 2.37 0.25 0.014 0.015
Melting Temp(◦
C) 1414 1500 660 2000 1700 1600
• Its wide use within the microelectronic integrated circuit industry
• Well understood and controllable electrical properties
• Availability of existing design tools
• Economical to produce single crystal substrates
• Vast knowledge of the material exists
• Its desirable mechanical properties
Amongst Silicon itself there include three of its varieties viz. crystalline, amorphous
and polycrystalline (polysilicon). High purity, crystalline silicon substrates are read-
ily available as circular wafers with typical diameters of 100mm(4 inches), 150mm(6
inches), 200mm(8 inches), or 300mm(12 inches) in a variety of thicknesses. Amorphous
silicon does not have a regular crystalline form and contains many defects. Its main
use has been in solar cells, photo-sensors, and liquid crystal displays. Both amorphous
and polysilicon can be deposited as thin-films, usually less than about 5 µm thickness.
Crystalline silicon has a diamond structure. This is a face-centered cubic lattice
with two atoms (one at the lattice point and one at the coordinates 1/4, 1/4, 1/4 nor-
malized to the unit cell) associated with each lattice point. Any of the major coordinate
axes of the cube can be designated as a {100} direction, and planes perpendicular to
these are designated as {100} planes. The {111} planes are planes perpendicular to
the {111} directions, which are parallel to the diagonals of the cube. Bulk silicon from
material manufacturers is usually either {100} or {111} orientation, although other
orientations can be obtained from specialist suppliers. This orientation identifies the
plane of the top surface of the wafer. The wafers are cut at one edge to form a pri-
mary flat in a {110} plane. A secondary flat is also cut on another edge to identify
the wafer orientation and doping type, which is either n- or p-type. The doping is
3
done with impurities to give a resistivity of between 0.001 and 10,000 Ωcm. For main-
stream integrated circuit processing wafers are typically of the order of 10 to 30 Ωcm
corresponding to an impurity level of −3 × 1014
cm3
for n-type and −9 × 1014
cm3
for
p-type.
Figure 2.1: Unit Cell of Silicon[1]
Figure 2.2: Planes and Directions[1]
2.3 SOI Wafer
The direct bonding of silicon wafers to one another requires high temperatures, on the
order of 1000 ◦
C
The first step is the cleaning and hydration of the surfaces to be bonded. They
must be smooth and completely particle-free. Contaminant particles create gaps which
cause the bonding to fail locally. Hydration typically occurs during the wafer-cleaning
operation. The surfaces to be bonded are then contacted and pressed together, using
hydrogen bonding of the hydrated surfaces to provide a modest degree of adhesion. The
contacted pair is placed in a high-temperature furnace to fuse the two wafers together.
4
The resulting bond is as strong as the silicon itself. After bonding, the top wafer can
be thinned by mechanical grinding and polishing, or by wet etching.
For the creation of thermal oxide layer, the surfaces are first cleaned and hydrated,
then contacted and annealed, and the top wafer is thinned. The result is a layer of
silicon on an insulating oxide. Because this SOI structure is formed by bonding followed
by etch back of the top layer, it is referred to as BESOI.
An alternate method for making very thin SOI layers is to implant a heavy dose
of oxygen into a silicon wafer at high energy, so that the peak oxygen concentration is
well below the silicon surface. Annealing then converts the heavily implanted region
to oxide beneath a surface layer that remains as single-crystal silicon[2].
As SOI microelectronic device technologies gain popularity for high performance
mainstream CMOS process technologies, the substrate material required for micro-
machining becomes cost competitive with alternative transducer technology approaches,
making SOI more appealing for inertial sensing applications.
SOI technology, as a descendant of Direct Wafer Bonding (DWB) technology, pro-
vides technological flexibility with desirable device properties, including the out-of-
plane insensitivity and high damping associated with high aspect ratio structures. SOI
technology provides the advantage of single-crystal silicon sensor structures with very
well behaved mechanical properties and extraordinary flexibility for device thickness,
as with DWB technologies.
Thickness can range from sub-micron to hundreds of microns for structural lay-
ers. Unlike DWB, SOI technologies often lack the flexibility of pre-bond processing
of the handle and active wafers to form micro-cavities or buried contact layers that
are often implemented in DWB technologies. Another technology hurdle has been the
choice of methodology to minimize parasitics to the handle wafer. Even so, SOI has
demonstrated a significant increase in its popularity as a micro-machining substrate
[Delapierre, 1999; Lemkin, Juneau et al., 1999; Park et al., 1999; McNie et al., 1999;
Noworolski and Judy, 1999; Lehto, 1999; Usenko and Carr, 1999]. Lemkin and Boser
(1999) demonstrated the monolithic integration of SOI inertial sensors with CMOS.
While technological hurdles still need to be overcome for broad industrialization of SOI
MEMS devices, the technology holds great promise for a broad technological platform
with few limitations[3].
2.4 Facilities Sookshma laboratory
For the fabrication of the diaphragm, a basic introduction of the lab facilities available
in DRDO was provided.
5
2.4.1 Cleanroom
It is a controlled environment in which the concentration of airborne particles is con-
trolled to specified limits. Eliminating sub-micron airborne contamination is really a
process of control. People, process, facilities and equipment generate these contami-
nants, which are continuously being removed from the air. The level to which these
particles need to be removed depends upon the standards required. The most frequently
used standard is the Federal Standard 209E. The 209E is a document that establishes
standard classes of air cleanliness for airborne particulate levels in cleanrooms and
clean zones. Strict rules and procedures are followed to prevent contamination of the
product.
Figure 2.3: Clean Room Anti-static suits[4]
Figure 2.4: Support Equipment[4]
Cleanrooms are rated according to their class. In the Sookshma Lab, the class 1000
and class 10000 cleanrooms are developed.
• Class 1000: Class 1000 cleanroom does not contain more than 1000 particles of
0.5µm in 1 cubic foot of air. The air flow in the room is controlled and with the
help of HEPA filter system (High efficiency Particulate Air filter system)
6
• Class 10000: Class 10000 cleanroom does not contain more than 10000 particles
of 0.5µm in 1 cubic foot of air. The air flow is controlled with HEPA filter system
and the air curtains help in the restricted flow of air in various processes.
HEPA filters remove at least 99.97 % of airborne particles 0.3µm in diameter. The
filter’s minimal resistance to airflow, or pressure drop, is usually specified around 300 Pa
at its nominal flow rate[5]. HEPA filters are composed of a mat of randomly arranged
fibres. The fibres are typically composed of fiberglass and possess diameters between
0.5 and 2.0µm. Key factors affecting function are fibre diameter, filter thickness, and
face velocity. The air space between HEPA filter fibres is much greater than 0.3µm.
Unlike membrane filters at this pore size, where particles as wide as the largest opening
or distance between fibres cannot pass in between them at all, HEPA filters are designed
to target much smaller pollutants and particles. These particles are trapped (they stick
to a fibre) through a combination of the following three mechanisms:
• Interception: where particles following a line of flow in the air stream come within
one radius of a fibre and adhere to it.
• Impaction: where larger particles are unable to avoid fibres by following the
curving contours of the air stream and are forced to embed in one of them directly;
this effect increases with diminishing fibre separation and higher air flow velocity.
• Diffusion: an enhancing mechanism that is a result of the collision with gas
molecules by the smallest particles, especially those below 0.1µm in diameter,
which are thereby impeded and delayed in their path through the filter; this
behaviour is similar to Brownian motion and raises the probability that a particle
will be stopped by either of the two mechanisms above; it becomes dominant at
lower air flow velocities.
Diffusion predominates below the 0.1µm diameter particle size. Impaction and inter-
ception predominate above 0.4µm. In between, near the most penetrating particle size
(MPPS) 0.3µm, both diffusion and interception are comparatively inefficient. Because
this is the weakest point in the filter’s performance, the HEPA specifications use the
retention of these particles to classify the filter.
HEPA filters are designed to arrest very fine particles effectively, but they do not
filter out gasses and odour molecules. Circumstances requiring filtration of volatile
organic compounds, chemical vapours, cigarette, pet, and/or flatulence odours call for
the use of an activated carbon (charcoal) filter instead of or in addition to a HEPA
filter.
7
Figure 2.5: Work Station at the Class 1000 Facility
2.4.2 Apparatus and General Procedure
Cleaning Process steps: RCA
• General clean: H2SO4 + H2O2 2-10 mins.
• Particle removal: Megasonic cleaning at 70◦
C in 5:1:1 of DI water:NH4OH:H2O2
2-10 mins.
• Oxide removal: 1:20 HF: DI water 15-60 secs.
• Metal contamination removal: Megasonic cleaning at 70◦
C in 6:1:1 of DI water:HCl:H2O2
2-10 mins.
• Spin rinse dry
• Strong rinse in DI water is necessary after each step.
2.5 Spin Coating
Thin films can be deposited from solution by a technique called spin coating. The
material to be deposited, typically a polymer or chemical precursor to a polymer, is
dissolved in a suitable solvent. Solution is applied to the wafer, and the wafer is spun at
high speed. Centrifugal forces, in combination with the surface tension of the solution
and the viscosity of the solution, spread the film to a uniform thickness. The spinning
also allows for some of the solvent to evaporate, which increases the film viscosity. After
8
spinning, baking in an oven is used to remove the remaining solvent and, depending
on the material, to perform further chemical reactions.
Spinning is the standard method for depositing photo-resist, a photosensitive poly-
mer layer used in photo-lithography (discussed below). It can also be used to deposit
polyimide films, which are insulating polymers that can withstand temperatures to
about 400◦
C. The deposited polymer, in this case, is a polyamic acid. The post-
spinning bake removes solvent and also converts the amic acid to an imide, with the
loss of a water molecule. Some polyimides are also photosensitive, and can be patterned
lithographically and then used as moulds for plating of HARM structures.
Figure 2.6: A simple Resist spin coating program[6]
Figure 2.7: Benchtop Spin Coater[7]
2.6 Wafer Cleaning
Before a wafer can be subjected to microelectronic processes that involve high tem-
peratures, it must be cleaned. The standard set of wafer cleaning steps is called the
9
RCA cleans . The first step is removal of all organic coatings in a strong oxidant,
such as a 7:3 mixture of concentrated sulphuric acid and hydrogen peroxide (pirhana).
Then organic residues are removed in a 5:1:1 mixture of water, hydrogen peroxide, and
ammonium hydroxide.Because this step can grow a thin oxide on silicon, it is necessary
to insert a dilute HF etch to remove this oxide when cleaning a bare silicon wafer.
The HF dip is omitted when cleaning wafers that have intentional oxide on them.
Finally, ionic contaminants are removed with a 6:1:1 mixture of water, hydrochloric
acid, and hydrogen peroxide. Note that the cleaning solutions do not have metallic
cations. These RCA cleans must be performed before every high-temperature step
(oxidation, diffusion, or chemical vapour deposition).
2.7 Oxidation Furnace
In micro-fabrication, thermal oxidation is a way to produce a thin layer of oxide (usually
silicon dioxide) on the surface of a wafer. The technique forces an oxidizing agent to
diffuse into the wafer at high temperature and react with it. This is carried out in the
oxidation furnace. Thermal oxidation may be applied to different materials, but this
article will only consider oxidation of silicon substrates to produce silicon dioxide.
Oxidation of silicon wafer: Thermal oxidation of silicon is usually performed at a
temperature between 800 and 1200◦
C, resulting in so called High Temperature Oxide
layer (HTO). It may use either water vapour or molecular oxygen as the oxidant; it is
consequently called either wet or dry oxidation.
The oxidizing ambient may also contain several percent of hydrochloric acid (HCl).
The chlorine removes metal ions that may occur in the oxide.Thermal oxide incor-
porates silicon consumed from the substrate and oxygen supplied from the ambient.
Thus, it grows both down into the wafer and up out of it. For every unit thickness of
silicon consumed, 2.17 unit thickness of oxide will appear.Conversely, if a bare silicon
surface is oxidized, 44% of the oxide thickness will lie below the original surface, and
56% above it.
Oxidation technology: Most thermal oxidation is performed in furnaces, at tem-
peratures between 800 and 1200◦
C. A single furnace accepts many wafers at the same
time, in a specially designed quartz rack (called a ”boat”). Historically, the boat en-
tered the oxidation chamber from the side (this design is called ”horizontal”), and held
the wafers vertically, beside each other. Horizontal furnaces typically have convection
currents inside the tube which causes the bottom of the tube to be slightly colder than
the top of the tube. As the wafers lie vertically in the tube the convection and the
temperature gradient with it causes the top of the wafer to have a thicker oxide than
the bottom of the layer.
10
2.8 Oxidation of Silicon
One of the great virtues of silicon as a semiconductor material is that a high quality
oxide can be thermally grown on its surface. The chemical reaction is straightforward:
a molecule of oxygen reacts directly with the silicon, forming silicon dioxide. This is
accomplished in tube furnaces, operated at temperatures from about 850◦
C to 1150◦
C
. In dry oxidation, pure oxygen is used as the oxidant, flowed through the oxidation
furnace with a background flow of nitrogen as a diluent. The oxidation rate depends
on the arrival of oxygen at the silicon-oxide interface. The oxygen must diffuse through
the oxide to reach this interface, so as the oxide gets thicker, this arrival rate decreases.
As a result, a bare silicon wafer grows oxide relatively quickly, but an already-oxidized
wafer, subjected to the same conditions, adds relatively little additional oxide.
2.9 Scanning Electron Microscope
A scanning electron microscope (SEM) is a type of electron microscope that produces
images of a sample by scanning it with a focused beam of electrons[8]. The electrons
interact with atoms in the sample, producing various signals that can be detected and
that contain information about the sample’s surface topography and composition. The
electron beam is generally scanned in a raster scan pattern, and the beam’s position is
combined with the detected signal to produce an image. SEM can achieve resolution
better than 1 nm. Specimens can be observed in high vacuum, in low vacuum, and
(in environmental SEM) in wet conditions. The most common mode of detection is by
secondary electrons emitted by atoms excited by the electron beam. The number of
secondary electrons is a function of the angle between the surface and the beam. On a
flat surface, the plume of secondary electrons is mostly contained by the sample, but
on a tilted surface, the plume is partially exposed and more electrons are emitted. By
scanning the sample and detecting the secondary electrons, an image displaying the
tilt of the surface is created.
2.10 Etching
Etching can be categorized into isotropic and anisotropic which can be sub categorized
into the wet type and the dry type. This classification is done as per the requirements
based on the nature and orientations of materials of different materials to be etched.
11
Figure 2.8: Scanning Electron Microscope[4]
2.10.1 Anisotropic TMAH (Tetramethyl Ammonium Hydrox-
ide) Etching
Etch rate is much faster in a particular direction compared to others. The typical
etch rate is about 1 µm/min. Typical etchant for Anisotropic Wet Etching of Silicon
are: KOH, EDP and TMAH. Because anisotropic etches require long exposure to the
etchant, the etch-rate ratio of the fast-etching planes to the {111} planes is extremely
important. In 20 weight-percent solution of KOH at 85◦
Cs, the etch rate of the {100}
planes is and the selectivity to the {111} planes is 400:1. The etch rate of the masking
layer is also important. Possible masking materials for KOH are silicon nitride, which
hardly etches at all, which etches at about 1.4 nm/min, and p+ silicon, which offers
between a 10:1 and 100:1 reduction in etch rate over lightly doped silicon, depending
on the etchant and the etch temperature[9].
2.11 LIGA process
The LIGA consists of three main processing steps; lithography, electroplating and
moulding. There are two main LIGA-fabrication technologies, X-Ray LIGA, which
uses X-rays produced by a synchrotron to create high-aspect ratio structures, and
UV LIGA, a more accessible method which uses ultraviolet light to create structures
with relatively low aspect ratios. The notable characteristics of X-ray LIGA-fabricated
structures include:
• high aspect ratios on the order of 100:1
12
• parallel side walls with a flank angle on the order of 89.95◦
• smooth side walls with Ra = 10 nm, suitable for optical mirrors
• structural heights from tens of micrometers to several millimeters
• structural details on the order of micrometers over distances of centimeters
2.11.1 UV LIGA
UV LIGA utilizes an inexpensive ultraviolet light source, like a mercury lamp, to
expose a polymer photo-resist, typically SU-8. Because heating and transmittance are
not an issue in optical masks, a simple chromium mask can be substituted for the
technically sophisticated X-ray mask. These reductions in complexity make UV LIGA
much cheaper and more accessible than its X-ray counterpart. However, UV LIGA
is not as effective at producing precision moulds and is thus used when cost must be
kept low and very high aspect ratios are not required. The LIGA fabrication process
is composed of exposure (a), development (b), electro-forming (c), stripping (d), and
replication (e).
2.12 Sputtering
It is a process whereby atoms are ejected from a solid target material due to bombard-
ment of the target by energetic particles. It only happens when the kinetic energy of
the incoming particles is much higher than conventional thermal energies (>>1 eV).
This process can lead, during prolonged ion or plasma bombardment of a material,
to significant erosion of materials, and can thus be harmful. On the other hand, it is
commonly utilized for thin-film deposition, etching and analytical techniques.
2.13 Lithography
2.13.1 Pattern Transfer
Lithography in the MEMS context is typically the transfer of a pattern to a photosensi-
tive material by selective exposure to a radiation source such as light. A photosensitive
material is a material that experiences a change in its physical properties when exposed
to a radiation source. If we selectively expose a photosensitive material to radiation
(e.g. by masking some of the radiation) the pattern of the radiation on the material
is transferred to the material exposed, as the properties of the exposed and unexposed
regions differs.
13
In lithography for micro-machining, the photosensitive material used is typically
a photo-resist (also called resist, other photosensitive polymers are also used). When
resist is exposed to a radiation source of a specific a wavelength, the chemical resistance
of the resist to developer solution changes. If the resist is placed in a developer solution
after selective exposure to a light source, it will etch away one of the two regions
(exposed or unexposed). If the exposed material is etched away by the developer and
the unexposed region is resilient, the material is considered to be a positive resist. If
the exposed material is resilient to the developer and the unexposed region is etched
away, it is considered to be a negative resist.
Lithography is the principal mechanism for pattern definition in micro-machining.
Photosensitive compounds are primarily organic, and do not encompass the spectrum
of materials properties of interest to micro-machinists. However, as the technique is
capable of producing fine features in an economic fashion, a photosensitive layer is often
used as a temporary mask when etching an underlying layer, so that the pattern may
be transferred to the underlying layer. Photo-resist may also be used as a template
for patterning material deposited after lithography. The resist is subsequently etched
away, and the material deposited on the resist is ”lifted off”.
The deposition template (lift-off) approach for transferring a pattern from resist
to another layer is less common than using the resist pattern as an etch mask. The
reason for this is that resist is incompatible with most MEMS deposition processes,
usually because it cannot withstand high temperatures and may act as a source of
contamination.
Once the pattern has been transferred to another layer, the resist is usually stripped.
This is often necessary as the resist may be incompatible with further micro-machining
steps. It also makes the topography more dramatic, which may hamper further lithog-
raphy steps.
2.13.2 Alignment
In order to make useful devices the patterns for different lithography steps that belong
to a single structure must be aligned to one another. The first pattern transferred to a
wafer usually includes a set of alignment marks, which are high precision features that
are used as the reference when positioning subsequent patterns, to the first pattern.
Often alignment marks are included in other patterns, as the original alignment
marks may be obliterated as processing progresses. It is important for each alignment
mark on the wafer to be labelled so it may be identified, and for each pattern to
specify the alignment mark (and the location thereof) to which it should be aligned.
By providing the location of the alignment mark it is easy for the operator to locate the
14
correct feature in a short time. Each pattern layer should have an alignment feature
so that it may be registered to the rest of the layers.
Depending on the lithography equipment used, the feature on the mask used for
registration of the mask may be transferred to the wafer. In this case, it may be
important to locate the alignment marks such that they don’t effect subsequent wafer
processing or device performance. Pattern transfer of the mask alignment features to
the wafer may obliterate the alignment features on the wafer. In this case the alignment
marks should be designed to minimize this effect, or alternately there should be multiple
copies of the alignment marks on the wafer, so there will be alignment marks remaining
for other masks to be registered to.
Alignment marks may not necessarily be arbitrarily located on the wafer, as the
equipment used to perform alignment may have limited travel and therefore only be
able to align to features located within a certain region on the wafer. The region
location geometry and size may also vary with the type of alignment, so the lithographic
equipment and type of alignment to be used should be considered before locating
alignment marks. Typically two alignment marks are used to align the mask and
wafer, one alignment mark is sufficient to align the mask and wafer in x and y, but it
requires two marks (preferably spaced far apart) to correct for fine offset in rotation.
As there is no pattern on the wafer for the first pattern to align to, the first pattern
is typically aligned to the primary wafer flat. Depending on the lithography equipment
used, this may be done automatically, or by manual alignment to an explicit wafer
registration feature on the mask.
2.13.3 Exposure
The exposure parameters required in order to achieve accurate pattern transfer from the
mask to the photosensitive layer depend primarily on the wavelength of the radiation
source and the dose required to achieve the desired properties change of the photo-
resist. Different photo-resists exhibit different sensitivities to different wavelengths.
The dose required per unit volume of photo-resist for good pattern transfer is some-
what constant; however, the physics of the exposure process may affect the dose actually
received. For example a highly reflective layer under the photo-resist may result in the
material experiencing a higher dose than if the underlying layer is absorptive, as the
photo-resist is exposed both by the incident radiation as well as the reflected radiation.
The dose will also vary with resist thickness.
There are also higher order effects, such as interference patterns in thick resist films
on reflective substrates, which may affect the pattern transfer quality and side wall
properties.
15
At the edges of pattern light is scattered and diffracted, so if an image is overex-
posed, the dose received by photo-resist at the edge that shouldn’t be exposed may
become significant. If we are using positive photo-resist, this will result in the photo-
resist image being eroded along the edges, resulting in a decrease in feature size and a
loss of sharpness or corners. If we are using a negative resist, the photo-resist image
is dilated, causing the features to be larger than desired, again accompanied by a loss
of sharpness of corners. If an image is severely underexposed, the pattern may not
be transferred at all, and in less severe cases the results will be similar to those for
overexposure with the results reversed for the different polarities of resist.
If the surface being exposed is not flat, the high-resolution image of the mask on the
wafer may be distorted by the loss of focus of the image across the varying topography.
This is one of the limiting factors of MEMS lithography when high aspect ratio features
are present. High aspect ratio features also experience problems with obtaining even
resist thickness coating, which further degrades pattern transfer and complicates the
associated processing.
2.13.4 The Lithography Module
Typically lithography is performed as part of a well characterized module, which in-
cludes the wafer surface preparation, photo-resist deposition, alignment of the mask
and wafer, exposure, develop and appropriate resist conditioning. The lithography pro-
cess steps need to be characterized as a sequence in order to ensure that the remaining
resist at the end of the modules is an optimal image of the mask, and has the desired
side wall profile.
The standard steps found in a lithography module are (in sequence): dehydration
bake, HMDS prime, resist spin/spray, soft bake, alignment, exposure, post exposure
bake, develop hard bake and de-scum. Not all lithography modules will contain all the
process steps. A brief explanation of the process steps is included for completeness.
• Dehydration bake - dehydrate the wafer to aid resist adhesion.
• HMDS prime - coating of wafer surface with adhesion promoter. Not necessary
for all surfaces.
• Resist spin/spray - coating of the wafer with resist either by spinning or spraying.
Typically desire a uniform coat.
• Soft bake - drive off some of the solvent in the resist, may result in a significant
loss of mass of resist (and thickness). Makes resist more viscous.
• Alignment - align pattern on mask to features on wafers.
16
• Exposure - projection of mask image on resist to cause selective chemical property
change.
• Post exposure bake - baking of resist to drive off further solvent content. Makes
resist more resistant to etchant (other than developer).
• Develop - selective removal of resist after exposure (exposed resist if resist is
positive, unexposed resist if resist is positive). Usually a wet process (although
dry processes exist).
• Hard bake - drive off most of the remaining solvent from the resist.
• De-scum - removal of thin layer of resist scum that may occlude open regions in
pattern, helps to open up corners.
Figure 2.9: Photolithography Setup[4]
17
Chapter 3
Numerical Analysis:
3.1 Testing
3.1.1 Gunshot Trials
Materials and Methods
Materials: 4 Trials with 4 sets of readings each consisting of 8 lakh data points were
carried out with different positions of the microphones (as described below). For mea-
suring and recording, a total of seven G.R.A.S. 40PR microphones were used.
Data Acquisition System specifications: 64 channel LMS SYSTEM. Model- SCADAS
III (DIFA Instruments ) End connectors: BNC Cables of 10 m length each.
Gun specifications: 12 bore (0.729 inches)
Gun Model: Shaktimaan made in the Dwarka Arms Factory (Bellary, Karnataka)
at Simson Gunhouse
Trial Methodology:
1. Trial 1: 7 Microphones were arranged in a straight line at a distance of 1.75 m
from the gun and 2 m spacing between each. Pressure value (in Pa) obtained
from the LMS System with a sampling frequency of 1000 samples/sec exceeded
the permissible limit of the microphones. Thus this trial was barred unsuccessful.
2. Trial 2: The distance between the microphones and the source of the sound was
increased by accordingly placing two microphones at a distance of 30 m and 35
m from the source in the line of sight of gun. Test was successful.
3. Trial 3: Keeping the configuration same but introducing a third microphone at
an angle of approx. 15◦
and 35 m to check pressure variation with change in the
18
Figure 3.1: Trial Setup in the Saksham testing ground
Table 3.1: Trial 2
MICROPHONE PRESSURE VALUE(Pa)
95 252
96 210
orientation of the microphone. The pressure value reduced with the introduction
the angle.
4. Trail 4: Keeping the configuration same but introducing a third microphone at
an angle of approx. 15◦
and 40 m to check pressure variation with change in the
orientation of the microphone. This trial was carried out for similar configuration
to check for repeatability.
Results and discussion
Reading sets of Amplitude (in Pa) v/s Time of the sound pressure wave (in s).The
Fast Fourier Transform (FFT) of the readings were obtained using a MATLAB code.
The peak amplitudes in the reading sets of the corresponding frequencies assisted in
obtaining peak frequencies that came out to be 380 Hz in both Trials 3 and 4. This
value was used for further trials.
19
Table 3.2: Trial 3
MICROPHONE PRESSURE VALUE(Pa)
96 248
92 222
94 172
95 205
Table 3.3: Trial 4
MICROPHONE PRESSURE VALUE(Pa)
96 228
92 207
94 167
95 183
Figure 3.2: FFT graph using MATLAB
3.2 Numerical Analysis
3.2.1 Diaphragm Analysis
Materials and methods
Materials ANSYS WORKBENCH has been mainly used to carry out the di-
aphragm designing and associated analysis. Since the aim was to match the frequency
20
of gunshot and the natural frequency of vibrating diaphragm, Modal Analysis has been
used extensively.
The geometry of the diaphragm was selected to be a square. The other two possible
options were that of the circular and rectangular shapes. However, the two were
eliminated based of the following arguments:
1. Circular diaphragm fabrication would be difficult and etching would be non-
uniform due to the selected material of wafer being Si that has a crystal lattice
structure, unsuitable for circular cross section.
2. Rectangular geometry has been found to generate higher values of frequency than
square geometry based on the analysis.
Figure 3.3: Schematic of Diaphragm to be designed
Methods The frequency testing trials were carried out and the gunshot frequency
was found to be 380 Hz. Hence, the design was optimized based on this set value
instead. The last row of dimensions were finalized. Material:
• Silicon Oxide Wafer (SiO2)
• Properties: ρ=2150 kg/m3
• E=70 GPa
• ν= 0.17
• Thickness of Oxide Layer = 0.8 µm
• Wafer Thickness = 290 µm
21
Table 3.4: Iterations Set 1
Diaphragm Thickness Dimension-side of square Frequency
(µm) (µm) (Hz)
1.5 5000 385.09
2 6000 355.36
2 5800 388.53
Table 3.5: Iterations Set 2
Diaphragm Thickness Dimension-side of square Frequency
(µm) (µm) (Hz)
0.8 4500 489.93
0.8 4700 455.01
0.8 5000 400.9
0.8 5110 387.84
Results and Discussion
The results obtained using Silicon Dioxide instead of Copper were found to be better
on account of reduced dimensions. Also, Silicon Dioxide wafers were readily available
and it would save time which can be efficiently spent in the sputtering process. The
fabrication process would be faster and less complicated using this material. Hence,
this design was finalized.
Analysis on Software: Following specifications were used for the analysis:
1. Material : Silicon
2. Properties of silicon :
• Density=2329 kg/m3
• Poissons ratio=0.22
• Youngs Modulus=1.3 × 1011
Pa
3. Final Dimensions:
• Length X= 6.11 × 10−03
m
• Length Y=2.9 × 10−04
m
• Length Z=6.11 × 10−03
m
Results of Analysis on Software:
22
Figure 3.4: Modelled Diaphragm
Figure 3.5: Modal Analysis Results
Table 3.6: Result Table
Mode Frequency(Hz)
1 387.84
2 783.97
3 789.06
4 1163.8
5 1414.1
6 1421.1
23
Figure 3.6: First Four Mode Shapes
24
Chapter 4
Manufacturing:
4.1 Fabrication
4.1.1 Positive Film
Materials and Methods
Positive Film Materials Modification of the mask design was done according
to the final dimensions of the diaphragm on AutoCad 2008. The regular .dwg file was
converted into Corel file.This corel file was further processed to print a photo-resistive
positive/reversal film. Printing of the positive film was done at Khadilkar Process
Studio, Pune.
Four masks were developed, each of which consisted of 12 diaphragms.
Film Developing Method A photo-resist is a light-sensitive material used in
several industrial processes, such as photo-lithography and photoengraving to form a
patterned coating on a surface. A positive resist is a type of photo-resist in which the
portion of the photo-resist that is exposed to light becomes soluble to the photo-resist
developer. The portion of the photo-resist that is unexposed remains insoluble to the
photo-resist developer.
The resist is exposed with UV light where the underlying material is to be removed.
In these resists, exposure to the UV light changes the chemical structure of the resist
so that it becomes more soluble in the developer. The exposed resist is then washed
away by the developer solution, leaving windows of the bare underlying material. The
mask, therefore, contains an exact copy of the pattern which is to remain on the wafer.
25
Figure 4.1: Photofilm mask
4.1.2 Jig Designing and Manufacturing
Materials and Methods
Materials In order to accommodate the fabrication of the diaphragm, to be de-
veloped in micro scale, the entire procedure could be carried out in the 500 ml. without
spout tall form beaker. The 2” silicon wafer carrying the 12 diaphragms to be etched
out thus needed a stand or support while it was being reacted upon chemically and
thermally. For this the jig was designed and manufactured accordingly. All the neces-
sary purposes were taken into consideration. These purposes were as follows:
• The jig materials must be resist to harsh chemicals and must have high thermal
resistance
• The jig must accommodate the presence of a magnetic stirrer allowing it to
comfortably stir the electrolytic solution
• Due to the heating and stirring, the jig should not only permit but also facilitate
the convective circulation of the electrolytic solution throughout the beaker
• The height of the jig stand should be such the wafers must be well submerged
into the solution
26
• There must be a provision of a sealed lid so as to avoid the loss of vapours and
assist in condensation
• The lid must have a provision for accommodating a temperature sensing device in
order to make note and thus record the resulting internal temperature at proper
intervals
Keeping the following purposes in mind, the jig plate and stand were fabricated using
Teflon material while the connecting rods were made of ebonite. Both Teflon and
ebonite show high thermal and chemical resistance and were thus chosen. However,
at a higher aspect ratio, the Teflon becomes flexible and tends to show buckling and
bending nature, hence, ebonite which is sturdier was used. The electrolytic solution
as discussed in the diaphragm fabrication, is TMAH which is to be heated at roughly
85-90◦
C
Methods The jig along with its stand and the lid were initially designed in
SOLIDWORKS and later manufactured at the workshop facility. The stand has slots
proportionately created to permit the silicon wafers to just stand upright without lean-
ing onto the adjacent wafer or falling off without support. The stand also has through
holes at regular intervals for proper circulation of the solution. This depth of slots and
the holes were estimated intuitively. The lid has a slot for inserting a glass tube that
holds the temperature measuring device.
4.1.3 Diaphragm Fabrication
Materials and Methods
Thickness Measurement Dial gauge was used to measure the original wafer thickness.
The least count of the gauge was 1µm. The maximum possible reading that the dial
gauge could measure was 290µm
Parameters
• Po number- PSH 90813-30
• Diameter- 50.8±0.3 mm
• Thickness- 275±25
• Surface Finish- SSP
• Type of dopant- P type/Boron
27
Figure 4.2: Jig Product and Model
• Resistivity- 10-20 Ωcm
• Orientation- {1 0 0}
• Thermal Oxide- 8000±200 A◦
• Quantity- 25 pieces
1. Isopropyl Alcohol Cleaning : The cleaning process took place in two steps namely,
the IPA-1 dip and the IPA-2 dip. After IPA-2, the wafer was submerged in DI
(Di-ionized) water. Both the dips were carried out for 5 minutes to remove dust
particles in an ultrasonic bath provided with sufficient excitation.
2. Spin coating : To carry out spin coating, a positive photo-resist, AZ1514H
(Methoxy-propyl Acetate) was used. Photo-resist is wavelength specific. It is
a polymer and when exposed to white light (UV) the polymer chain breaks for
positive photo-resists and the chain forms for negative photo-resists. The 2 wafers
were gently placed one at a time on the spin coating machine and applied a drop
of the photo-resist from the top exactly in the centre of the wafer. The spin
coating was carried out at 5000 rpm for 40 seconds.
28
After the coating was done, the coated wafer was dried under N2 gas. A colour
change was observed confirming the presence of oxide layer formation. Then the
wafers were placed on a hot plate at 100◦
C for about 1 min.
3. Pre-exposure bake : Yet again, the wafers were placed on the hot plate for 1
minute before the final exposure.
4. Exposure : The wafers were exposed to UV light for 12 s. The mask was placed
above the wafer during exposure in order to get the pattern imprinted onto the
wafer.
5. : Development : The wafers were initially immersed in 1:4 ratio of DI water.
Then they were dipped in AZ351B developer for 2 minutes. After the wafer was
removed from the solution of DI water it was tilted it to a certain inclination
angle to observe the hydrophobic nature of silicon. Then the wafers were dipped
in buffered HF solution for 2 minutes. Glass beaker was refrained from use,
rather a Teflon beaker was used to contain HF, as HF is an extremely reactive
agent. The wafers were submerged in IPA solution again. Following which, the
photo-resist was stripped off by dipping the wafer in Acetone.
Figure 4.3: Developed Wafer
6. TMAH Procedure : To carry out the main etching process, TMAH etchant at
a temperature of 85◦
C was finalised upon. However, this temperature was not
achieved in the first trial run on account of continuous air circulation in the wet
bench. Hence, additional insulation was provided to the beaker by means of a
Teflon sheet of approximately 7 mm thickness.
7. Removing of the native oxide : The wafers were initially cleaned in Acetone for
29
30 s. Then they were dipped into a solution of buffered HF. Buffered solution
consists of ammonium chloride and HF, also known as BHF or BOE.
Figure 4.4: Setup for Etching
8. TMAH etch (first half)
• Etching start time- 12:07 pm
• Etching stop time- 5:07 pm
• Etch- 100 110 µm
9. TMAH etch (second half)
• Etching start time- 11:30 am
• Etching stop time- 4:30 pm
• Etch- 150 µm
10. : Rinsing : The wafers were rinsed by dipping them in DI water and dried under
N2.
Results and Discussion
Reason for roughness: Wafer immersed with the non-polished surface exposed
to the etchant. The polished side was kept facing the other wafer such that surface
irregularities were observed in the final product.
Only one corner at a time could be observed for the specified resolution.
30
Figure 4.5: Etched out wafer
Figure 4.6: Profile of finished wafer with smooth surface
Figure 4.7: Profile of finished wafer with rough surface
31
Figure 4.8: SEM plot 1 of finished wafer profile
Figure 4.9: SEM plot 2 of finished wafer profile
32
4.2 Packaging
4.2.1 Materials and Methods
Materials
The diaphragm needed packaging, such that it remained protected from the external
environment, noise and vibrations. The main purpose of creating the packaging was
as follows:
• To protect chip from outside influences and vice versa
• To provide a controlled electrical, thermal, mechanical, and/or optical interface
between the sensor, its associated components, and its environment
The main intention was to have a ceramic or metallic package completely sealed
with the diaphragm and a unidirectional microphone to be kept facing to this
diaphragm. However, for developing the prototype, the 3D printer facility was
available thus leading to a plastic 3D printed package, serving as a tentative
prototype.
Thus, the main objective was to package the sensor to act as a mechanical filter
ensuring that the response was obtained only for a particular desired frequency.
Method
Cup and Cone Arrangement: The design constituted of a circular base with
a cone shaped lid that could be easily fitted by inserting the protruding legs of the
cone into the grooves of the base similar to the Bayonet Mount. It was designed in
Pro-E and was fed as input into the 3D printer to obtain the layered plastic resin. Fine
print was selected. The completion of both cup and cone together lasted for about 90
minutes. Following which, the diaphragm was pasted onto the square slot provided
and the microphone was attached in the circular slot underneath.
4.2.2 Results and Discussion
The above procedure was a fast and simplified technique adopted to achieve packaging
of the acoustic filter. However, the packaging was temporary as the material was
compromised upon. The best suited material in use these days is ceramic or metallic
packaging. Of which again the metallic is given higher weightage due to high reliability
and it is considered best fit for the military applications. Another consideration here
in terms of material comparison was that the modulus of rigidity of plastic, thus used,
33
Figure 4.10: Pro-E model of package top and circular base
was less than ceramic or metal that could have been used, leading to the additional
vibrations of the package, thus lowering the accuracy of the results.
Figure 4.11: 3D Printed Plastic Model
34
Chapter 5
Testing:
5.1 Trials
The aim of conducting trials was to test the response of the microphone-diaphragm
filter assembly. Since the diaphragm was designed to have maximum amplitude of
vibration at 380 Hz frequency of excitation, the output in the oscilloscope was ex-
pected to have maximum amplitude at this frequency and lesser amplitude at other
frequencies.
5.1.1 Materials and Methods
Materials
A sound source (frequency generator) and an oscilloscope were used as a electronic
support systems to carry out the trials. The amplitude of sound from the source being
minute, the sensor had to be placed in close range to the speaker to ensure a response
on the oscilloscope. The output received from the sensor was too small to be detected
and thus a pre-amplifier circuit has been made use of for amplification purpose.
The details of the circuit are as follows:
• Power supply- 5 − 12 V D.C. at 10 mA
• Output: Gain 100
• On board electrets condenser microphone
• Power- On LED indicator
• CN1- DC supply input 5 − 12 V DC
• CN2- Audio signal output
35
• D1- power indicator
Figure 5.1: Trial setup at Lab
Method
First, the trial was conducted without the diaphragm to check if the output reading
through the condenser microphone corresponded with the input frequency.
Later, the diaphragm was attached to the sensor assembly and tests were repeated.
5.1.2 Results and Discussion
The output frequency of the sensor with and without diaphragm remained the same.
It was observed that the amplitude was less for frequencies lower than 350 Hz and
then suddenly increased as the frequency reached 380 Hz. At 390.4 Hz, the amplitude
decreased and after 800 Hz. it rapidly increased. The peak of this increase was reached
at 8160 Hz, after which it decreased.
36
Chapter 6
Conclusion
1. The Fast Fourier Transform of the peak amplitude readings obtained from the
sets assisted in obtaining the peak frequency of 380 Hz selected for designing and
manufacturing of the diaphragm.
2. Based on the numerical analysis, it was finalised that SiO2 wafers would not only
shrink dimensions but also make the fabrication process faster, less complicated
and more efficient.
3. 3D printed Plastic Model as a temporary package was developed, being a fast
and simplified technique for packaging of acoustic filters over the ceramic or
metallic counterparts. Keeping in mind the serious assumptions in the form
of compromising of material in terms of rigidity and the likes, the drop in the
accuracy of the system has been observed and taken note of. However, the main
intention of successfully designing and developing a MEMS based Acoustic Filter
has been given priority over the accuracy factor.
4. The trial output validate the intent by showing a global peak in amplitude at
the desired frequency of 380 Hz. However, accounting for the low accuracy and
efficiency of the device, a range of like peaks are visible across the desired fre-
quency.
37
Chapter 7
Future Scope
1. In order to minimize the variation in the output from the desired,
• Manufacturing a hermetically sealed metal package with glass to metal seals
welded to it accounting for overall size reduction and increasing reliability
and suitability for military applications could be done beyond the current
temporary devised plastic 3D printed model.
• Also by trying different other ways of attaching and/or mounting the di-
aphragm onto the base structure and by varying its alignment with respect
to the package base.
2. Another future suggestion is to align similar such diaphragms either in an array
form or cubical orientation which would thus transform gunshot indicator to an
acoustic vector sensor which will in turn assist to account for the directionality.
38
Chapter 8
References
[1] MEMS Mechanical Sensors Stephen Beeby, et.al. Artech House, Inc. ISBN 1-
58053-536-4
[2] Microsystem Design Stephen D. Senturia Kluwer Academic Publ. eBook ISBN:
0-306-47601-0 Print ISBN: 0-7923-7246-8
[3] MEMS Applications Mohamed Gad-el-Hak Taylor and Francis Group CRC
Press ISBN 0-8493-9139-3
[4] Stanford Nano Facility (SNF) tours
[5] DOE-STD-3020-2005 Specification for HEPA used by DOE Contractors
[6] www.microchem.com/Tech-LithoTerms.htm
[7] www.smartcoater.com/spin-coating-theory.htm
[8] McMullan, D SEM 1928-1965, Scaning 17 (3): 175
[9] Wet-Chemical Etching and Cleaning of Silicon January 2003 Virginia Semicon-
ductor Inc.
39

More Related Content

What's hot

Experimental Investigation of Mist Film Cooling and Feasibility S
Experimental Investigation of Mist Film Cooling and Feasibility SExperimental Investigation of Mist Film Cooling and Feasibility S
Experimental Investigation of Mist Film Cooling and Feasibility SReda Ragab
 
Mark Quinn Thesis
Mark Quinn ThesisMark Quinn Thesis
Mark Quinn ThesisMark Quinn
 
Dragos Datcu_PhD_Thesis
Dragos Datcu_PhD_ThesisDragos Datcu_PhD_Thesis
Dragos Datcu_PhD_Thesisdragos80
 
Masters Thesis - Joshua Wilson
Masters Thesis - Joshua WilsonMasters Thesis - Joshua Wilson
Masters Thesis - Joshua WilsonJoshua Wilson
 
Application of welding arc to obtain small angular bend in steel plates
Application of welding arc to obtain small angular bend in steel platesApplication of welding arc to obtain small angular bend in steel plates
Application of welding arc to obtain small angular bend in steel platesAshish Khetan
 
grDirkEkelschotFINAL__2_
grDirkEkelschotFINAL__2_grDirkEkelschotFINAL__2_
grDirkEkelschotFINAL__2_Dirk Ekelschot
 
The gage block handbook
The gage block handbookThe gage block handbook
The gage block handbookgoyito13
 
82937107 iiw-recommendations-for-fatigue-design-of-welded-joints-and-componen...
82937107 iiw-recommendations-for-fatigue-design-of-welded-joints-and-componen...82937107 iiw-recommendations-for-fatigue-design-of-welded-joints-and-componen...
82937107 iiw-recommendations-for-fatigue-design-of-welded-joints-and-componen...restinho
 
[A. hobbacher] fatigue_design_of_welded_joints_and
[A. hobbacher] fatigue_design_of_welded_joints_and[A. hobbacher] fatigue_design_of_welded_joints_and
[A. hobbacher] fatigue_design_of_welded_joints_andIlham Ilham
 
Efficient Model-based 3D Tracking by Using Direct Image Registration
Efficient Model-based 3D Tracking by Using Direct Image RegistrationEfficient Model-based 3D Tracking by Using Direct Image Registration
Efficient Model-based 3D Tracking by Using Direct Image RegistrationEnrique Muñoz Corral
 
Emco Industrial Plastics: Design Principles For Engineering Polymers
Emco Industrial Plastics: Design Principles For Engineering PolymersEmco Industrial Plastics: Design Principles For Engineering Polymers
Emco Industrial Plastics: Design Principles For Engineering PolymersPurpose Advertising
 
60969_Orsted2003-Morten Høgholm Pedersen-New Digital Techniques in Medical Ul...
60969_Orsted2003-Morten Høgholm Pedersen-New Digital Techniques in Medical Ul...60969_Orsted2003-Morten Høgholm Pedersen-New Digital Techniques in Medical Ul...
60969_Orsted2003-Morten Høgholm Pedersen-New Digital Techniques in Medical Ul...Morten Høgholm Pedersen
 
Automatic Detection of Performance Design and Deployment Antipatterns in Comp...
Automatic Detection of Performance Design and Deployment Antipatterns in Comp...Automatic Detection of Performance Design and Deployment Antipatterns in Comp...
Automatic Detection of Performance Design and Deployment Antipatterns in Comp...Trevor Parsons
 
Lower Bound methods for the Shakedown problem of WC-Co composites
Lower Bound methods for the Shakedown problem of WC-Co compositesLower Bound methods for the Shakedown problem of WC-Co composites
Lower Bound methods for the Shakedown problem of WC-Co compositesBasavaRaju Akula
 

What's hot (20)

Experimental Investigation of Mist Film Cooling and Feasibility S
Experimental Investigation of Mist Film Cooling and Feasibility SExperimental Investigation of Mist Film Cooling and Feasibility S
Experimental Investigation of Mist Film Cooling and Feasibility S
 
Mark Quinn Thesis
Mark Quinn ThesisMark Quinn Thesis
Mark Quinn Thesis
 
main
mainmain
main
 
Dragos Datcu_PhD_Thesis
Dragos Datcu_PhD_ThesisDragos Datcu_PhD_Thesis
Dragos Datcu_PhD_Thesis
 
Masters Thesis - Joshua Wilson
Masters Thesis - Joshua WilsonMasters Thesis - Joshua Wilson
Masters Thesis - Joshua Wilson
 
Jmetal4.5.user manual
Jmetal4.5.user manualJmetal4.5.user manual
Jmetal4.5.user manual
 
Application of welding arc to obtain small angular bend in steel plates
Application of welding arc to obtain small angular bend in steel platesApplication of welding arc to obtain small angular bend in steel plates
Application of welding arc to obtain small angular bend in steel plates
 
grDirkEkelschotFINAL__2_
grDirkEkelschotFINAL__2_grDirkEkelschotFINAL__2_
grDirkEkelschotFINAL__2_
 
The gage block handbook
The gage block handbookThe gage block handbook
The gage block handbook
 
phd-thesis
phd-thesisphd-thesis
phd-thesis
 
MS_Thesis
MS_ThesisMS_Thesis
MS_Thesis
 
82937107 iiw-recommendations-for-fatigue-design-of-welded-joints-and-componen...
82937107 iiw-recommendations-for-fatigue-design-of-welded-joints-and-componen...82937107 iiw-recommendations-for-fatigue-design-of-welded-joints-and-componen...
82937107 iiw-recommendations-for-fatigue-design-of-welded-joints-and-componen...
 
[A. hobbacher] fatigue_design_of_welded_joints_and
[A. hobbacher] fatigue_design_of_welded_joints_and[A. hobbacher] fatigue_design_of_welded_joints_and
[A. hobbacher] fatigue_design_of_welded_joints_and
 
Efficient Model-based 3D Tracking by Using Direct Image Registration
Efficient Model-based 3D Tracking by Using Direct Image RegistrationEfficient Model-based 3D Tracking by Using Direct Image Registration
Efficient Model-based 3D Tracking by Using Direct Image Registration
 
Emco Industrial Plastics: Design Principles For Engineering Polymers
Emco Industrial Plastics: Design Principles For Engineering PolymersEmco Industrial Plastics: Design Principles For Engineering Polymers
Emco Industrial Plastics: Design Principles For Engineering Polymers
 
Jung.Rapport
Jung.RapportJung.Rapport
Jung.Rapport
 
BenThesis
BenThesisBenThesis
BenThesis
 
60969_Orsted2003-Morten Høgholm Pedersen-New Digital Techniques in Medical Ul...
60969_Orsted2003-Morten Høgholm Pedersen-New Digital Techniques in Medical Ul...60969_Orsted2003-Morten Høgholm Pedersen-New Digital Techniques in Medical Ul...
60969_Orsted2003-Morten Høgholm Pedersen-New Digital Techniques in Medical Ul...
 
Automatic Detection of Performance Design and Deployment Antipatterns in Comp...
Automatic Detection of Performance Design and Deployment Antipatterns in Comp...Automatic Detection of Performance Design and Deployment Antipatterns in Comp...
Automatic Detection of Performance Design and Deployment Antipatterns in Comp...
 
Lower Bound methods for the Shakedown problem of WC-Co composites
Lower Bound methods for the Shakedown problem of WC-Co compositesLower Bound methods for the Shakedown problem of WC-Co composites
Lower Bound methods for the Shakedown problem of WC-Co composites
 

Viewers also liked

Haal meer uit IBM SPSS Statistics 11.11.14 Profileren met beslissingsbomen ...
Haal meer uit IBM SPSS Statistics 11.11.14   Profileren met beslissingsbomen ...Haal meer uit IBM SPSS Statistics 11.11.14   Profileren met beslissingsbomen ...
Haal meer uit IBM SPSS Statistics 11.11.14 Profileren met beslissingsbomen ...Daniel Westzaan
 
Daftar nama kecamatan kelurahan
Daftar nama kecamatan kelurahanDaftar nama kecamatan kelurahan
Daftar nama kecamatan kelurahanCharles Lambertus
 
Penn Valley Church Announcements 11 16-14
Penn Valley Church Announcements 11 16-14Penn Valley Church Announcements 11 16-14
Penn Valley Church Announcements 11 16-14PennValleyChurch
 
Boulton on Abatement
Boulton on AbatementBoulton on Abatement
Boulton on AbatementAnn Treacy
 
The Power of Pulse Oximetry to Improve Post-Discharge Survival: Matthew Wiens
The Power of Pulse Oximetry to Improve Post-Discharge Survival: Matthew Wiens The Power of Pulse Oximetry to Improve Post-Discharge Survival: Matthew Wiens
The Power of Pulse Oximetry to Improve Post-Discharge Survival: Matthew Wiens Leith Greenslade
 
Resume_Ashok Kumar
Resume_Ashok KumarResume_Ashok Kumar
Resume_Ashok KumarAshok Gupta
 
^^ Big traingle @ star surya with star nakshatras @ star surya & star mer...
^^ Big traingle @ star surya  with  star nakshatras  @ star surya  & star mer...^^ Big traingle @ star surya  with  star nakshatras  @ star surya  & star mer...
^^ Big traingle @ star surya with star nakshatras @ star surya & star mer...Deepak Somaji Sawant
 
Cuadro comparativo de las características de las técnicas comunicativas.
Cuadro comparativo de las características de las técnicas comunicativas.Cuadro comparativo de las características de las técnicas comunicativas.
Cuadro comparativo de las características de las técnicas comunicativas.Fernando Alvarado
 
Andrew G40192
Andrew G40192Andrew G40192
Andrew G40192savomir
 

Viewers also liked (15)

Haal meer uit IBM SPSS Statistics 11.11.14 Profileren met beslissingsbomen ...
Haal meer uit IBM SPSS Statistics 11.11.14   Profileren met beslissingsbomen ...Haal meer uit IBM SPSS Statistics 11.11.14   Profileren met beslissingsbomen ...
Haal meer uit IBM SPSS Statistics 11.11.14 Profileren met beslissingsbomen ...
 
Daftar nama kecamatan kelurahan
Daftar nama kecamatan kelurahanDaftar nama kecamatan kelurahan
Daftar nama kecamatan kelurahan
 
Penn Valley Church Announcements 11 16-14
Penn Valley Church Announcements 11 16-14Penn Valley Church Announcements 11 16-14
Penn Valley Church Announcements 11 16-14
 
Boulton on Abatement
Boulton on AbatementBoulton on Abatement
Boulton on Abatement
 
Activity Management
Activity ManagementActivity Management
Activity Management
 
Task 4!
Task 4!Task 4!
Task 4!
 
Oil Field Resume
Oil Field ResumeOil Field Resume
Oil Field Resume
 
The Power of Pulse Oximetry to Improve Post-Discharge Survival: Matthew Wiens
The Power of Pulse Oximetry to Improve Post-Discharge Survival: Matthew Wiens The Power of Pulse Oximetry to Improve Post-Discharge Survival: Matthew Wiens
The Power of Pulse Oximetry to Improve Post-Discharge Survival: Matthew Wiens
 
Resume_Ashok Kumar
Resume_Ashok KumarResume_Ashok Kumar
Resume_Ashok Kumar
 
CV_Naresh-1
CV_Naresh-1CV_Naresh-1
CV_Naresh-1
 
^^ Big traingle @ star surya with star nakshatras @ star surya & star mer...
^^ Big traingle @ star surya  with  star nakshatras  @ star surya  & star mer...^^ Big traingle @ star surya  with  star nakshatras  @ star surya  & star mer...
^^ Big traingle @ star surya with star nakshatras @ star surya & star mer...
 
Cuadro comparativo de las características de las técnicas comunicativas.
Cuadro comparativo de las características de las técnicas comunicativas.Cuadro comparativo de las características de las técnicas comunicativas.
Cuadro comparativo de las características de las técnicas comunicativas.
 
KolomeychukSO
KolomeychukSOKolomeychukSO
KolomeychukSO
 
Document1
Document1Document1
Document1
 
Andrew G40192
Andrew G40192Andrew G40192
Andrew G40192
 

Similar to Main_file (1)

Pulse Preamplifiers for CTA Camera Photodetectors
Pulse Preamplifiers for CTA Camera PhotodetectorsPulse Preamplifiers for CTA Camera Photodetectors
Pulse Preamplifiers for CTA Camera Photodetectorsnachod40
 
Dissertation_Austin_Kana_FINAL
Dissertation_Austin_Kana_FINALDissertation_Austin_Kana_FINAL
Dissertation_Austin_Kana_FINALAustin Kana
 
ImplementationOFDMFPGA
ImplementationOFDMFPGAImplementationOFDMFPGA
ImplementationOFDMFPGANikita Pinto
 
Virtual Environments as Driving Schools for Deep Learning Vision-Based Sensor...
Virtual Environments as Driving Schools for Deep Learning Vision-Based Sensor...Virtual Environments as Driving Schools for Deep Learning Vision-Based Sensor...
Virtual Environments as Driving Schools for Deep Learning Vision-Based Sensor...Artur Filipowicz
 
GroupD_Low Cost Subsea Processing System for Brownfield Developments
GroupD_Low Cost Subsea Processing System for Brownfield DevelopmentsGroupD_Low Cost Subsea Processing System for Brownfield Developments
GroupD_Low Cost Subsea Processing System for Brownfield DevelopmentsOlawale B. SAMUEL, PMP®
 
Thesis - Umberto Morelli (83190)
Thesis - Umberto Morelli (83190)Thesis - Umberto Morelli (83190)
Thesis - Umberto Morelli (83190)Umberto Morelli
 
Maxime Javaux - Automated spike analysis
Maxime Javaux - Automated spike analysisMaxime Javaux - Automated spike analysis
Maxime Javaux - Automated spike analysisMaxime Javaux
 
project Report on LAN Security Manager
project Report on LAN Security Managerproject Report on LAN Security Manager
project Report on LAN Security ManagerShahrikh Khan
 
Experimental Investigation of Optimal Aerodynamics of a Flying Wing UAV(Link)
Experimental Investigation of Optimal Aerodynamics of a Flying Wing UAV(Link)Experimental Investigation of Optimal Aerodynamics of a Flying Wing UAV(Link)
Experimental Investigation of Optimal Aerodynamics of a Flying Wing UAV(Link)Baba Kakkar
 
Reynard Arlow Final Year Project
Reynard Arlow Final Year ProjectReynard Arlow Final Year Project
Reynard Arlow Final Year ProjectReynard Arlow
 

Similar to Main_file (1) (20)

Tesi master Giulia Lanza
Tesi master Giulia LanzaTesi master Giulia Lanza
Tesi master Giulia Lanza
 
Pulse Preamplifiers for CTA Camera Photodetectors
Pulse Preamplifiers for CTA Camera PhotodetectorsPulse Preamplifiers for CTA Camera Photodetectors
Pulse Preamplifiers for CTA Camera Photodetectors
 
Fraser_William
Fraser_WilliamFraser_William
Fraser_William
 
Thesis
ThesisThesis
Thesis
 
Dissertation_Austin_Kana_FINAL
Dissertation_Austin_Kana_FINALDissertation_Austin_Kana_FINAL
Dissertation_Austin_Kana_FINAL
 
ImplementationOFDMFPGA
ImplementationOFDMFPGAImplementationOFDMFPGA
ImplementationOFDMFPGA
 
Virtual Environments as Driving Schools for Deep Learning Vision-Based Sensor...
Virtual Environments as Driving Schools for Deep Learning Vision-Based Sensor...Virtual Environments as Driving Schools for Deep Learning Vision-Based Sensor...
Virtual Environments as Driving Schools for Deep Learning Vision-Based Sensor...
 
thesis
thesisthesis
thesis
 
Agathos-PHD-uoi-2016
Agathos-PHD-uoi-2016Agathos-PHD-uoi-2016
Agathos-PHD-uoi-2016
 
Agathos-PHD-uoi-2016
Agathos-PHD-uoi-2016Agathos-PHD-uoi-2016
Agathos-PHD-uoi-2016
 
GroupD_Low Cost Subsea Processing System for Brownfield Developments
GroupD_Low Cost Subsea Processing System for Brownfield DevelopmentsGroupD_Low Cost Subsea Processing System for Brownfield Developments
GroupD_Low Cost Subsea Processing System for Brownfield Developments
 
Thesis - Umberto Morelli (83190)
Thesis - Umberto Morelli (83190)Thesis - Umberto Morelli (83190)
Thesis - Umberto Morelli (83190)
 
Final_report
Final_reportFinal_report
Final_report
 
Report v1
Report v1Report v1
Report v1
 
Maxime Javaux - Automated spike analysis
Maxime Javaux - Automated spike analysisMaxime Javaux - Automated spike analysis
Maxime Javaux - Automated spike analysis
 
project Report on LAN Security Manager
project Report on LAN Security Managerproject Report on LAN Security Manager
project Report on LAN Security Manager
 
Experimental Investigation of Optimal Aerodynamics of a Flying Wing UAV(Link)
Experimental Investigation of Optimal Aerodynamics of a Flying Wing UAV(Link)Experimental Investigation of Optimal Aerodynamics of a Flying Wing UAV(Link)
Experimental Investigation of Optimal Aerodynamics of a Flying Wing UAV(Link)
 
Tilak's Report
Tilak's ReportTilak's Report
Tilak's Report
 
Reynard Arlow Final Year Project
Reynard Arlow Final Year ProjectReynard Arlow Final Year Project
Reynard Arlow Final Year Project
 
master thesis
master thesismaster thesis
master thesis
 

Main_file (1)

  • 1. A Project Report on Design of a novel MEMS based Acoustic Filter for Gunshot Detection Submitted in partial fulfilment of the requirements for the degree of Bachelor of Engineering in Mechanical Engineering (Final Year) by Ishita Mukherjee (B80200825) Pooja Shivale Patil (B80200826) Tejaswita Patil (B80200864) Neha Sharma (B80200865) Under the Guidance of Dr. Gautam Chandekar MKSSS’s Cummins College of Engineering for Women, Karvenagar, Pune, Maharashtra (India)
  • 2. Certificate This is to certify that the project report entitled “Design of a MEMS based Acous- tic Filter for Gunshot Detection” submitted by Ishita Mukherjee(B80200825), Pooja Shivale Patil(B80200826), Tejaswita Patil(B80200864), Neha Sharma (B80200865) for the partial fulfilment of degree of Bachelor of Engineering in Mechanical Engineering is a record of bonafide work carried out by them under my supervision and guidance during the period from July, 2013 to June, 2014. Date: 09-06-2014 Dr. Gautam Chandekar Place: Karvenagar, Pune (Guide) Dept. of Mechanical Engineering Dr. R. B. Ingle External Examiner Head, Dept. of Mechanical Engineering MKSSS’s Cummins College of Engineering for Women, Pune i
  • 3. Certificate This is to certify that the project report entitled “Design of a MEMS based Acous- tic Filter for Gunshot Detection” submitted by Ishita Mukherjee(B80200825), Pooja Shivale Patil(B80200826), Tejaswita Patil(B80200864), Neha Sharma (B80200865) for the partial fulfilment of degree of Bachelor of Engineering in Mechanical Engineering is a record of bonafide work carried out by them under my supervision and guidance during the period from July, 2013 to June, 2014. Date: 09-06-2014 Dr. Gautam Chandekar Place: Karvenagar, Pune (Guide) Dept. of Mechanical Engineering Dr. R. B. Ingle External Examiner Head, Dept. of Mechanical Engineering MKSSS’s Cummins College of Engineering for Women, Pune ii
  • 4. Acknowledgement We relied heavily on the professional judgement, encouragement and guidance of both our project guides, Dr Gautam S. Chandekar, our Project Guide from MKSSSs Cum- mins College, and Mr.Jaising Pednekar, our Project Guide from R and DE(Engrs.), who have helped us immensely in carrying out this project work. We extend our sincere thanks to Madhuri Khambete, Principal, MKSSSs Cummins College and Dr. R. B. Ingle, HoD, Mechanical Department, MKSSSs Cummins College for providing us with the facility at college ensuring the completion of our work. We gratefully acknowledge the facilities provided by Dr. Makarand Joshi, Head, Composite Research Centre (CRC) Lab and Mr.Alok Mukherjee, Head, Robotics De- velopment Centre (RDC), for carrying out the project work smoothly at the R and DE(Engrs) Labs. We are highly indebted to Dr.C. Ramdas and Mr. Ritesh, CRC, and to Mr. Pur- nanand, RDC, for their assistance, timely guidance and supervision. We are also thankful to Precious Scientific and Surgical, Pune for supplying the necessary Laboratory Equipment at the right time. We express our gratitude to all the other support staff from Mechanical and Instru- mentation Departments of our college and CRC and RDC labs at the R and DE(Engrs) for assisting us in carrying out our tests and trials as also for their ceaseless cooperation during the studies. June 2014 Ishita Mukherjee Pooja Shivale Patil Place: Pune Tejaswita Patil Neha Sharma iii
  • 5. Abstract Acoustic signature detection finds great potential in defence as well as civilian applications. Presently available acoustic sensors are large and generally have a wide response over the entire acoustics range (20-20,000 Hz). Gunshots are characterised by a specific frequency and amplitude. There is a ne- cessity to design and develop an acoustic sensor that is tuned for gunshot detection. A MEMS based sensor would be miniature in size and easily configurable in an array to detect the direction and range of the source. The scope of work includes firstly a comparative study of the traditionally available as well as currently under research solutions of acoustic sensor. Based on the study, a MEMS based sensor would be designed. MEMS design software packages would be utilised to create a solid model of the sensor. After designing, the sensor would be simulated and analysis carried out for studying its characteristics. This model would then be used for consequent analysis of gunshot detection. On completion of designing of a prototype of the acoustic sensor, it would then be further tried and tested. The focus of the project would be to take into consideration the limitations of the currently available solutions for gunshot detection and to arrive at a novel design of a MEMS acoustic sensor. Once the basic sensor element is developed, it would facilitate the design and development of acoustic sensor array for shot detection. iv
  • 6. Contents Certificate I i Certificate II ii Acknowledgements iii Abstract iv 1 Introduction 1 2 Review of Literature 2 2.1 MEMS and MEMS devices . . . . . . . . . . . . . . . . . . . . . . . . . 2 2.2 Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 2.3 SOI Wafer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 2.4 Facilities Sookshma laboratory . . . . . . . . . . . . . . . . . . . . . . 5 2.4.1 Cleanroom . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 2.4.2 Apparatus and General Procedure . . . . . . . . . . . . . . . . . 8 2.5 Spin Coating . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 2.6 Wafer Cleaning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 2.7 Oxidation Furnace . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 2.8 Oxidation of Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 2.9 Scanning Electron Microscope . . . . . . . . . . . . . . . . . . . . . . . 11 2.10 Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 2.10.1 Anisotropic TMAH (Tetramethyl Ammonium Hydroxide) Etching 12 2.11 LIGA process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 2.11.1 UV LIGA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 2.12 Sputtering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 2.13 Lithography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 2.13.1 Pattern Transfer . . . . . . . . . . . . . . . . . . . . . . . . . . 13 2.13.2 Alignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 2.13.3 Exposure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 v
  • 7. 2.13.4 The Lithography Module . . . . . . . . . . . . . . . . . . . . . . 16 3 Numerical Analysis: 18 3.1 Testing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 3.1.1 Gunshot Trials . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 3.2 Numerical Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 3.2.1 Diaphragm Analysis . . . . . . . . . . . . . . . . . . . . . . . . 20 4 Manufacturing: 25 4.1 Fabrication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 4.1.1 Positive Film . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 4.1.2 Jig Designing and Manufacturing . . . . . . . . . . . . . . . . . 26 4.1.3 Diaphragm Fabrication . . . . . . . . . . . . . . . . . . . . . . . 27 4.2 Packaging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31 4.2.1 Materials and Methods . . . . . . . . . . . . . . . . . . . . . . . 31 4.2.2 Results and Discussion . . . . . . . . . . . . . . . . . . . . . . . 33 5 Testing: 35 5.1 Trials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 5.1.1 Materials and Methods . . . . . . . . . . . . . . . . . . . . . . . 35 5.1.2 Results and Discussion . . . . . . . . . . . . . . . . . . . . . . . 36 6 Conclusion 37 7 Future Scope 38 8 References 39 vi
  • 8. List of Figures 2.1 Unit Cell of Silicon[1] . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 2.2 Planes and Directions[1] . . . . . . . . . . . . . . . . . . . . . . . . . . 4 2.3 Clean Room Anti-static suits[4] . . . . . . . . . . . . . . . . . . . . . . 6 2.4 Support Equipment[4] . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 2.5 Work Station at the Class 1000 Facility . . . . . . . . . . . . . . . . . . 8 2.6 A simple Resist spin coating program[6] . . . . . . . . . . . . . . . . . 9 2.7 Benchtop Spin Coater[7] . . . . . . . . . . . . . . . . . . . . . . . . . . 9 2.8 Scanning Electron Microscope[4] . . . . . . . . . . . . . . . . . . . . . . 12 2.9 Photolithography Setup[4] . . . . . . . . . . . . . . . . . . . . . . . . . 17 3.1 Trial Setup in the Saksham testing ground . . . . . . . . . . . . . . . . 19 3.2 FFT graph using MATLAB . . . . . . . . . . . . . . . . . . . . . . . . 20 3.3 Schematic of Diaphragm to be designed . . . . . . . . . . . . . . . . . . 21 3.4 Modelled Diaphragm . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 3.5 Modal Analysis Results . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 3.6 First Four Mode Shapes . . . . . . . . . . . . . . . . . . . . . . . . . . 24 4.1 Photofilm mask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 4.2 Jig Product and Model . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 4.3 Developed Wafer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29 4.4 Setup for Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 4.5 Etched out wafer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31 4.6 Profile of finished wafer with smooth surface . . . . . . . . . . . . . . . 31 4.7 Profile of finished wafer with rough surface . . . . . . . . . . . . . . . . 31 4.8 SEM plot 1 of finished wafer profile . . . . . . . . . . . . . . . . . . . . 32 4.9 SEM plot 2 of finished wafer profile . . . . . . . . . . . . . . . . . . . . 32 4.10 Pro-E model of package top and circular base . . . . . . . . . . . . . . 33 4.11 3D Printed Plastic Model . . . . . . . . . . . . . . . . . . . . . . . . . 34 5.1 Trial setup at Lab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 vii
  • 9. List of Tables 2.1 Properties of Silicon and other selected materials . . . . . . . . . . . . 3 3.1 Trial 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 3.2 Trial 3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 3.3 Trial 4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 3.4 Iterations Set 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 3.5 Iterations Set 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 3.6 Result Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 viii
  • 10. Chapter 1 Introduction The project concept focuses on the development of a novel MEMS based acoustic filter for gunshot detection. The filter, thus obtained as an outcome of this study would assist in acoustic signature detection of RAM Rocket, Artillery and Mortar in general and gunshots in particular. According to the currently available options, the Macro sized sensors are found to be cumbersome and not frequency specific. Also, as per the currently under-research Micro sized options available in this field, the major disadvantages include their reliance on velocity factor, the huge cost and low probability of reproducibility. The key intention of this project is to target the prevailing drawbacks in the avail- able options and attempt to come up with a solution that would take care of them to the best possible extent. Going through literature, keeping in mind the drawbacks and the limitations, a novel MEMS based acoustic filter has been designed and developed. This acoustic filter is frequency dependent, is cost effective when mass produced and easy to manufacture and use. However, with further refinements as discussed in the future scope, this acoustic filter can promise to possess higher accuracy and effectiveness in its functionality. 1
  • 11. Chapter 2 Review of Literature 2.1 MEMS and MEMS devices MEMS Micro Electro Mechanical Systems as called in the US and Microsystems in the Europian Counterparts, literally includes; Micro establishes a dimensional scale, electro suggests either electricity or electronics (or both), and mechanical suggests moving parts of some kind. However, this MEMS concept has grown to encompass much more than the basic meaning. MEMS share several common features: 1. MEMS involve both electronic and non-electronic elements, and perform func- tions that can include signal acquisition (sensing), signal processing, actuation, display, and control. They can also serve as vehicles for performing chemical and biochemical reactions and assays. 2. MEMS are systems in the true sense, which means that important system issues such as packaging, system partitioning into components, calibration, signal-to- noise ratio, stability, and reliability must be confronted. 3. The most successful MEMS have been those which involve paradigm shifts from the macro way of doing things, more than simply reducing the size scale. 4. Some MEMS involve large arrays of microfabricated elements. 2.2 Materials A variety of materials have shown the ability to be successfully micromachined. These include glasses, quartz, ceramics, silicon nitride and carbide, alloys of various metals, and a variety of specialist materials that are used for very specific purposes. Of these, the most commonly used material has by far been Silicon. The main reason for this is as follows: 2
  • 12. Table 2.1: Properties of Silicon and other selected materials Property Si{1 1 1} Stainless Steel Al Al2O3 SiO2 Quartz Young’s Modulus(GPa) 190 200 70 303 73 107 Poisson’s Ratio 0.22 0.3 0.33 0.21 0.17 0.16 Density(gm/cm3 ) 2.3 8 2.7 3.8 2.3 2.6 Yield Strength(GPa) 7 3.0 0.17 9 8.4 9 Thermal Coeff of exp(10/K) 2.3 16 24 6 0.55 0.55 Thermal Cond at 300K 1.48 0.2 2.37 0.25 0.014 0.015 Melting Temp(◦ C) 1414 1500 660 2000 1700 1600 • Its wide use within the microelectronic integrated circuit industry • Well understood and controllable electrical properties • Availability of existing design tools • Economical to produce single crystal substrates • Vast knowledge of the material exists • Its desirable mechanical properties Amongst Silicon itself there include three of its varieties viz. crystalline, amorphous and polycrystalline (polysilicon). High purity, crystalline silicon substrates are read- ily available as circular wafers with typical diameters of 100mm(4 inches), 150mm(6 inches), 200mm(8 inches), or 300mm(12 inches) in a variety of thicknesses. Amorphous silicon does not have a regular crystalline form and contains many defects. Its main use has been in solar cells, photo-sensors, and liquid crystal displays. Both amorphous and polysilicon can be deposited as thin-films, usually less than about 5 µm thickness. Crystalline silicon has a diamond structure. This is a face-centered cubic lattice with two atoms (one at the lattice point and one at the coordinates 1/4, 1/4, 1/4 nor- malized to the unit cell) associated with each lattice point. Any of the major coordinate axes of the cube can be designated as a {100} direction, and planes perpendicular to these are designated as {100} planes. The {111} planes are planes perpendicular to the {111} directions, which are parallel to the diagonals of the cube. Bulk silicon from material manufacturers is usually either {100} or {111} orientation, although other orientations can be obtained from specialist suppliers. This orientation identifies the plane of the top surface of the wafer. The wafers are cut at one edge to form a pri- mary flat in a {110} plane. A secondary flat is also cut on another edge to identify the wafer orientation and doping type, which is either n- or p-type. The doping is 3
  • 13. done with impurities to give a resistivity of between 0.001 and 10,000 Ωcm. For main- stream integrated circuit processing wafers are typically of the order of 10 to 30 Ωcm corresponding to an impurity level of −3 × 1014 cm3 for n-type and −9 × 1014 cm3 for p-type. Figure 2.1: Unit Cell of Silicon[1] Figure 2.2: Planes and Directions[1] 2.3 SOI Wafer The direct bonding of silicon wafers to one another requires high temperatures, on the order of 1000 ◦ C The first step is the cleaning and hydration of the surfaces to be bonded. They must be smooth and completely particle-free. Contaminant particles create gaps which cause the bonding to fail locally. Hydration typically occurs during the wafer-cleaning operation. The surfaces to be bonded are then contacted and pressed together, using hydrogen bonding of the hydrated surfaces to provide a modest degree of adhesion. The contacted pair is placed in a high-temperature furnace to fuse the two wafers together. 4
  • 14. The resulting bond is as strong as the silicon itself. After bonding, the top wafer can be thinned by mechanical grinding and polishing, or by wet etching. For the creation of thermal oxide layer, the surfaces are first cleaned and hydrated, then contacted and annealed, and the top wafer is thinned. The result is a layer of silicon on an insulating oxide. Because this SOI structure is formed by bonding followed by etch back of the top layer, it is referred to as BESOI. An alternate method for making very thin SOI layers is to implant a heavy dose of oxygen into a silicon wafer at high energy, so that the peak oxygen concentration is well below the silicon surface. Annealing then converts the heavily implanted region to oxide beneath a surface layer that remains as single-crystal silicon[2]. As SOI microelectronic device technologies gain popularity for high performance mainstream CMOS process technologies, the substrate material required for micro- machining becomes cost competitive with alternative transducer technology approaches, making SOI more appealing for inertial sensing applications. SOI technology, as a descendant of Direct Wafer Bonding (DWB) technology, pro- vides technological flexibility with desirable device properties, including the out-of- plane insensitivity and high damping associated with high aspect ratio structures. SOI technology provides the advantage of single-crystal silicon sensor structures with very well behaved mechanical properties and extraordinary flexibility for device thickness, as with DWB technologies. Thickness can range from sub-micron to hundreds of microns for structural lay- ers. Unlike DWB, SOI technologies often lack the flexibility of pre-bond processing of the handle and active wafers to form micro-cavities or buried contact layers that are often implemented in DWB technologies. Another technology hurdle has been the choice of methodology to minimize parasitics to the handle wafer. Even so, SOI has demonstrated a significant increase in its popularity as a micro-machining substrate [Delapierre, 1999; Lemkin, Juneau et al., 1999; Park et al., 1999; McNie et al., 1999; Noworolski and Judy, 1999; Lehto, 1999; Usenko and Carr, 1999]. Lemkin and Boser (1999) demonstrated the monolithic integration of SOI inertial sensors with CMOS. While technological hurdles still need to be overcome for broad industrialization of SOI MEMS devices, the technology holds great promise for a broad technological platform with few limitations[3]. 2.4 Facilities Sookshma laboratory For the fabrication of the diaphragm, a basic introduction of the lab facilities available in DRDO was provided. 5
  • 15. 2.4.1 Cleanroom It is a controlled environment in which the concentration of airborne particles is con- trolled to specified limits. Eliminating sub-micron airborne contamination is really a process of control. People, process, facilities and equipment generate these contami- nants, which are continuously being removed from the air. The level to which these particles need to be removed depends upon the standards required. The most frequently used standard is the Federal Standard 209E. The 209E is a document that establishes standard classes of air cleanliness for airborne particulate levels in cleanrooms and clean zones. Strict rules and procedures are followed to prevent contamination of the product. Figure 2.3: Clean Room Anti-static suits[4] Figure 2.4: Support Equipment[4] Cleanrooms are rated according to their class. In the Sookshma Lab, the class 1000 and class 10000 cleanrooms are developed. • Class 1000: Class 1000 cleanroom does not contain more than 1000 particles of 0.5µm in 1 cubic foot of air. The air flow in the room is controlled and with the help of HEPA filter system (High efficiency Particulate Air filter system) 6
  • 16. • Class 10000: Class 10000 cleanroom does not contain more than 10000 particles of 0.5µm in 1 cubic foot of air. The air flow is controlled with HEPA filter system and the air curtains help in the restricted flow of air in various processes. HEPA filters remove at least 99.97 % of airborne particles 0.3µm in diameter. The filter’s minimal resistance to airflow, or pressure drop, is usually specified around 300 Pa at its nominal flow rate[5]. HEPA filters are composed of a mat of randomly arranged fibres. The fibres are typically composed of fiberglass and possess diameters between 0.5 and 2.0µm. Key factors affecting function are fibre diameter, filter thickness, and face velocity. The air space between HEPA filter fibres is much greater than 0.3µm. Unlike membrane filters at this pore size, where particles as wide as the largest opening or distance between fibres cannot pass in between them at all, HEPA filters are designed to target much smaller pollutants and particles. These particles are trapped (they stick to a fibre) through a combination of the following three mechanisms: • Interception: where particles following a line of flow in the air stream come within one radius of a fibre and adhere to it. • Impaction: where larger particles are unable to avoid fibres by following the curving contours of the air stream and are forced to embed in one of them directly; this effect increases with diminishing fibre separation and higher air flow velocity. • Diffusion: an enhancing mechanism that is a result of the collision with gas molecules by the smallest particles, especially those below 0.1µm in diameter, which are thereby impeded and delayed in their path through the filter; this behaviour is similar to Brownian motion and raises the probability that a particle will be stopped by either of the two mechanisms above; it becomes dominant at lower air flow velocities. Diffusion predominates below the 0.1µm diameter particle size. Impaction and inter- ception predominate above 0.4µm. In between, near the most penetrating particle size (MPPS) 0.3µm, both diffusion and interception are comparatively inefficient. Because this is the weakest point in the filter’s performance, the HEPA specifications use the retention of these particles to classify the filter. HEPA filters are designed to arrest very fine particles effectively, but they do not filter out gasses and odour molecules. Circumstances requiring filtration of volatile organic compounds, chemical vapours, cigarette, pet, and/or flatulence odours call for the use of an activated carbon (charcoal) filter instead of or in addition to a HEPA filter. 7
  • 17. Figure 2.5: Work Station at the Class 1000 Facility 2.4.2 Apparatus and General Procedure Cleaning Process steps: RCA • General clean: H2SO4 + H2O2 2-10 mins. • Particle removal: Megasonic cleaning at 70◦ C in 5:1:1 of DI water:NH4OH:H2O2 2-10 mins. • Oxide removal: 1:20 HF: DI water 15-60 secs. • Metal contamination removal: Megasonic cleaning at 70◦ C in 6:1:1 of DI water:HCl:H2O2 2-10 mins. • Spin rinse dry • Strong rinse in DI water is necessary after each step. 2.5 Spin Coating Thin films can be deposited from solution by a technique called spin coating. The material to be deposited, typically a polymer or chemical precursor to a polymer, is dissolved in a suitable solvent. Solution is applied to the wafer, and the wafer is spun at high speed. Centrifugal forces, in combination with the surface tension of the solution and the viscosity of the solution, spread the film to a uniform thickness. The spinning also allows for some of the solvent to evaporate, which increases the film viscosity. After 8
  • 18. spinning, baking in an oven is used to remove the remaining solvent and, depending on the material, to perform further chemical reactions. Spinning is the standard method for depositing photo-resist, a photosensitive poly- mer layer used in photo-lithography (discussed below). It can also be used to deposit polyimide films, which are insulating polymers that can withstand temperatures to about 400◦ C. The deposited polymer, in this case, is a polyamic acid. The post- spinning bake removes solvent and also converts the amic acid to an imide, with the loss of a water molecule. Some polyimides are also photosensitive, and can be patterned lithographically and then used as moulds for plating of HARM structures. Figure 2.6: A simple Resist spin coating program[6] Figure 2.7: Benchtop Spin Coater[7] 2.6 Wafer Cleaning Before a wafer can be subjected to microelectronic processes that involve high tem- peratures, it must be cleaned. The standard set of wafer cleaning steps is called the 9
  • 19. RCA cleans . The first step is removal of all organic coatings in a strong oxidant, such as a 7:3 mixture of concentrated sulphuric acid and hydrogen peroxide (pirhana). Then organic residues are removed in a 5:1:1 mixture of water, hydrogen peroxide, and ammonium hydroxide.Because this step can grow a thin oxide on silicon, it is necessary to insert a dilute HF etch to remove this oxide when cleaning a bare silicon wafer. The HF dip is omitted when cleaning wafers that have intentional oxide on them. Finally, ionic contaminants are removed with a 6:1:1 mixture of water, hydrochloric acid, and hydrogen peroxide. Note that the cleaning solutions do not have metallic cations. These RCA cleans must be performed before every high-temperature step (oxidation, diffusion, or chemical vapour deposition). 2.7 Oxidation Furnace In micro-fabrication, thermal oxidation is a way to produce a thin layer of oxide (usually silicon dioxide) on the surface of a wafer. The technique forces an oxidizing agent to diffuse into the wafer at high temperature and react with it. This is carried out in the oxidation furnace. Thermal oxidation may be applied to different materials, but this article will only consider oxidation of silicon substrates to produce silicon dioxide. Oxidation of silicon wafer: Thermal oxidation of silicon is usually performed at a temperature between 800 and 1200◦ C, resulting in so called High Temperature Oxide layer (HTO). It may use either water vapour or molecular oxygen as the oxidant; it is consequently called either wet or dry oxidation. The oxidizing ambient may also contain several percent of hydrochloric acid (HCl). The chlorine removes metal ions that may occur in the oxide.Thermal oxide incor- porates silicon consumed from the substrate and oxygen supplied from the ambient. Thus, it grows both down into the wafer and up out of it. For every unit thickness of silicon consumed, 2.17 unit thickness of oxide will appear.Conversely, if a bare silicon surface is oxidized, 44% of the oxide thickness will lie below the original surface, and 56% above it. Oxidation technology: Most thermal oxidation is performed in furnaces, at tem- peratures between 800 and 1200◦ C. A single furnace accepts many wafers at the same time, in a specially designed quartz rack (called a ”boat”). Historically, the boat en- tered the oxidation chamber from the side (this design is called ”horizontal”), and held the wafers vertically, beside each other. Horizontal furnaces typically have convection currents inside the tube which causes the bottom of the tube to be slightly colder than the top of the tube. As the wafers lie vertically in the tube the convection and the temperature gradient with it causes the top of the wafer to have a thicker oxide than the bottom of the layer. 10
  • 20. 2.8 Oxidation of Silicon One of the great virtues of silicon as a semiconductor material is that a high quality oxide can be thermally grown on its surface. The chemical reaction is straightforward: a molecule of oxygen reacts directly with the silicon, forming silicon dioxide. This is accomplished in tube furnaces, operated at temperatures from about 850◦ C to 1150◦ C . In dry oxidation, pure oxygen is used as the oxidant, flowed through the oxidation furnace with a background flow of nitrogen as a diluent. The oxidation rate depends on the arrival of oxygen at the silicon-oxide interface. The oxygen must diffuse through the oxide to reach this interface, so as the oxide gets thicker, this arrival rate decreases. As a result, a bare silicon wafer grows oxide relatively quickly, but an already-oxidized wafer, subjected to the same conditions, adds relatively little additional oxide. 2.9 Scanning Electron Microscope A scanning electron microscope (SEM) is a type of electron microscope that produces images of a sample by scanning it with a focused beam of electrons[8]. The electrons interact with atoms in the sample, producing various signals that can be detected and that contain information about the sample’s surface topography and composition. The electron beam is generally scanned in a raster scan pattern, and the beam’s position is combined with the detected signal to produce an image. SEM can achieve resolution better than 1 nm. Specimens can be observed in high vacuum, in low vacuum, and (in environmental SEM) in wet conditions. The most common mode of detection is by secondary electrons emitted by atoms excited by the electron beam. The number of secondary electrons is a function of the angle between the surface and the beam. On a flat surface, the plume of secondary electrons is mostly contained by the sample, but on a tilted surface, the plume is partially exposed and more electrons are emitted. By scanning the sample and detecting the secondary electrons, an image displaying the tilt of the surface is created. 2.10 Etching Etching can be categorized into isotropic and anisotropic which can be sub categorized into the wet type and the dry type. This classification is done as per the requirements based on the nature and orientations of materials of different materials to be etched. 11
  • 21. Figure 2.8: Scanning Electron Microscope[4] 2.10.1 Anisotropic TMAH (Tetramethyl Ammonium Hydrox- ide) Etching Etch rate is much faster in a particular direction compared to others. The typical etch rate is about 1 µm/min. Typical etchant for Anisotropic Wet Etching of Silicon are: KOH, EDP and TMAH. Because anisotropic etches require long exposure to the etchant, the etch-rate ratio of the fast-etching planes to the {111} planes is extremely important. In 20 weight-percent solution of KOH at 85◦ Cs, the etch rate of the {100} planes is and the selectivity to the {111} planes is 400:1. The etch rate of the masking layer is also important. Possible masking materials for KOH are silicon nitride, which hardly etches at all, which etches at about 1.4 nm/min, and p+ silicon, which offers between a 10:1 and 100:1 reduction in etch rate over lightly doped silicon, depending on the etchant and the etch temperature[9]. 2.11 LIGA process The LIGA consists of three main processing steps; lithography, electroplating and moulding. There are two main LIGA-fabrication technologies, X-Ray LIGA, which uses X-rays produced by a synchrotron to create high-aspect ratio structures, and UV LIGA, a more accessible method which uses ultraviolet light to create structures with relatively low aspect ratios. The notable characteristics of X-ray LIGA-fabricated structures include: • high aspect ratios on the order of 100:1 12
  • 22. • parallel side walls with a flank angle on the order of 89.95◦ • smooth side walls with Ra = 10 nm, suitable for optical mirrors • structural heights from tens of micrometers to several millimeters • structural details on the order of micrometers over distances of centimeters 2.11.1 UV LIGA UV LIGA utilizes an inexpensive ultraviolet light source, like a mercury lamp, to expose a polymer photo-resist, typically SU-8. Because heating and transmittance are not an issue in optical masks, a simple chromium mask can be substituted for the technically sophisticated X-ray mask. These reductions in complexity make UV LIGA much cheaper and more accessible than its X-ray counterpart. However, UV LIGA is not as effective at producing precision moulds and is thus used when cost must be kept low and very high aspect ratios are not required. The LIGA fabrication process is composed of exposure (a), development (b), electro-forming (c), stripping (d), and replication (e). 2.12 Sputtering It is a process whereby atoms are ejected from a solid target material due to bombard- ment of the target by energetic particles. It only happens when the kinetic energy of the incoming particles is much higher than conventional thermal energies (>>1 eV). This process can lead, during prolonged ion or plasma bombardment of a material, to significant erosion of materials, and can thus be harmful. On the other hand, it is commonly utilized for thin-film deposition, etching and analytical techniques. 2.13 Lithography 2.13.1 Pattern Transfer Lithography in the MEMS context is typically the transfer of a pattern to a photosensi- tive material by selective exposure to a radiation source such as light. A photosensitive material is a material that experiences a change in its physical properties when exposed to a radiation source. If we selectively expose a photosensitive material to radiation (e.g. by masking some of the radiation) the pattern of the radiation on the material is transferred to the material exposed, as the properties of the exposed and unexposed regions differs. 13
  • 23. In lithography for micro-machining, the photosensitive material used is typically a photo-resist (also called resist, other photosensitive polymers are also used). When resist is exposed to a radiation source of a specific a wavelength, the chemical resistance of the resist to developer solution changes. If the resist is placed in a developer solution after selective exposure to a light source, it will etch away one of the two regions (exposed or unexposed). If the exposed material is etched away by the developer and the unexposed region is resilient, the material is considered to be a positive resist. If the exposed material is resilient to the developer and the unexposed region is etched away, it is considered to be a negative resist. Lithography is the principal mechanism for pattern definition in micro-machining. Photosensitive compounds are primarily organic, and do not encompass the spectrum of materials properties of interest to micro-machinists. However, as the technique is capable of producing fine features in an economic fashion, a photosensitive layer is often used as a temporary mask when etching an underlying layer, so that the pattern may be transferred to the underlying layer. Photo-resist may also be used as a template for patterning material deposited after lithography. The resist is subsequently etched away, and the material deposited on the resist is ”lifted off”. The deposition template (lift-off) approach for transferring a pattern from resist to another layer is less common than using the resist pattern as an etch mask. The reason for this is that resist is incompatible with most MEMS deposition processes, usually because it cannot withstand high temperatures and may act as a source of contamination. Once the pattern has been transferred to another layer, the resist is usually stripped. This is often necessary as the resist may be incompatible with further micro-machining steps. It also makes the topography more dramatic, which may hamper further lithog- raphy steps. 2.13.2 Alignment In order to make useful devices the patterns for different lithography steps that belong to a single structure must be aligned to one another. The first pattern transferred to a wafer usually includes a set of alignment marks, which are high precision features that are used as the reference when positioning subsequent patterns, to the first pattern. Often alignment marks are included in other patterns, as the original alignment marks may be obliterated as processing progresses. It is important for each alignment mark on the wafer to be labelled so it may be identified, and for each pattern to specify the alignment mark (and the location thereof) to which it should be aligned. By providing the location of the alignment mark it is easy for the operator to locate the 14
  • 24. correct feature in a short time. Each pattern layer should have an alignment feature so that it may be registered to the rest of the layers. Depending on the lithography equipment used, the feature on the mask used for registration of the mask may be transferred to the wafer. In this case, it may be important to locate the alignment marks such that they don’t effect subsequent wafer processing or device performance. Pattern transfer of the mask alignment features to the wafer may obliterate the alignment features on the wafer. In this case the alignment marks should be designed to minimize this effect, or alternately there should be multiple copies of the alignment marks on the wafer, so there will be alignment marks remaining for other masks to be registered to. Alignment marks may not necessarily be arbitrarily located on the wafer, as the equipment used to perform alignment may have limited travel and therefore only be able to align to features located within a certain region on the wafer. The region location geometry and size may also vary with the type of alignment, so the lithographic equipment and type of alignment to be used should be considered before locating alignment marks. Typically two alignment marks are used to align the mask and wafer, one alignment mark is sufficient to align the mask and wafer in x and y, but it requires two marks (preferably spaced far apart) to correct for fine offset in rotation. As there is no pattern on the wafer for the first pattern to align to, the first pattern is typically aligned to the primary wafer flat. Depending on the lithography equipment used, this may be done automatically, or by manual alignment to an explicit wafer registration feature on the mask. 2.13.3 Exposure The exposure parameters required in order to achieve accurate pattern transfer from the mask to the photosensitive layer depend primarily on the wavelength of the radiation source and the dose required to achieve the desired properties change of the photo- resist. Different photo-resists exhibit different sensitivities to different wavelengths. The dose required per unit volume of photo-resist for good pattern transfer is some- what constant; however, the physics of the exposure process may affect the dose actually received. For example a highly reflective layer under the photo-resist may result in the material experiencing a higher dose than if the underlying layer is absorptive, as the photo-resist is exposed both by the incident radiation as well as the reflected radiation. The dose will also vary with resist thickness. There are also higher order effects, such as interference patterns in thick resist films on reflective substrates, which may affect the pattern transfer quality and side wall properties. 15
  • 25. At the edges of pattern light is scattered and diffracted, so if an image is overex- posed, the dose received by photo-resist at the edge that shouldn’t be exposed may become significant. If we are using positive photo-resist, this will result in the photo- resist image being eroded along the edges, resulting in a decrease in feature size and a loss of sharpness or corners. If we are using a negative resist, the photo-resist image is dilated, causing the features to be larger than desired, again accompanied by a loss of sharpness of corners. If an image is severely underexposed, the pattern may not be transferred at all, and in less severe cases the results will be similar to those for overexposure with the results reversed for the different polarities of resist. If the surface being exposed is not flat, the high-resolution image of the mask on the wafer may be distorted by the loss of focus of the image across the varying topography. This is one of the limiting factors of MEMS lithography when high aspect ratio features are present. High aspect ratio features also experience problems with obtaining even resist thickness coating, which further degrades pattern transfer and complicates the associated processing. 2.13.4 The Lithography Module Typically lithography is performed as part of a well characterized module, which in- cludes the wafer surface preparation, photo-resist deposition, alignment of the mask and wafer, exposure, develop and appropriate resist conditioning. The lithography pro- cess steps need to be characterized as a sequence in order to ensure that the remaining resist at the end of the modules is an optimal image of the mask, and has the desired side wall profile. The standard steps found in a lithography module are (in sequence): dehydration bake, HMDS prime, resist spin/spray, soft bake, alignment, exposure, post exposure bake, develop hard bake and de-scum. Not all lithography modules will contain all the process steps. A brief explanation of the process steps is included for completeness. • Dehydration bake - dehydrate the wafer to aid resist adhesion. • HMDS prime - coating of wafer surface with adhesion promoter. Not necessary for all surfaces. • Resist spin/spray - coating of the wafer with resist either by spinning or spraying. Typically desire a uniform coat. • Soft bake - drive off some of the solvent in the resist, may result in a significant loss of mass of resist (and thickness). Makes resist more viscous. • Alignment - align pattern on mask to features on wafers. 16
  • 26. • Exposure - projection of mask image on resist to cause selective chemical property change. • Post exposure bake - baking of resist to drive off further solvent content. Makes resist more resistant to etchant (other than developer). • Develop - selective removal of resist after exposure (exposed resist if resist is positive, unexposed resist if resist is positive). Usually a wet process (although dry processes exist). • Hard bake - drive off most of the remaining solvent from the resist. • De-scum - removal of thin layer of resist scum that may occlude open regions in pattern, helps to open up corners. Figure 2.9: Photolithography Setup[4] 17
  • 27. Chapter 3 Numerical Analysis: 3.1 Testing 3.1.1 Gunshot Trials Materials and Methods Materials: 4 Trials with 4 sets of readings each consisting of 8 lakh data points were carried out with different positions of the microphones (as described below). For mea- suring and recording, a total of seven G.R.A.S. 40PR microphones were used. Data Acquisition System specifications: 64 channel LMS SYSTEM. Model- SCADAS III (DIFA Instruments ) End connectors: BNC Cables of 10 m length each. Gun specifications: 12 bore (0.729 inches) Gun Model: Shaktimaan made in the Dwarka Arms Factory (Bellary, Karnataka) at Simson Gunhouse Trial Methodology: 1. Trial 1: 7 Microphones were arranged in a straight line at a distance of 1.75 m from the gun and 2 m spacing between each. Pressure value (in Pa) obtained from the LMS System with a sampling frequency of 1000 samples/sec exceeded the permissible limit of the microphones. Thus this trial was barred unsuccessful. 2. Trial 2: The distance between the microphones and the source of the sound was increased by accordingly placing two microphones at a distance of 30 m and 35 m from the source in the line of sight of gun. Test was successful. 3. Trial 3: Keeping the configuration same but introducing a third microphone at an angle of approx. 15◦ and 35 m to check pressure variation with change in the 18
  • 28. Figure 3.1: Trial Setup in the Saksham testing ground Table 3.1: Trial 2 MICROPHONE PRESSURE VALUE(Pa) 95 252 96 210 orientation of the microphone. The pressure value reduced with the introduction the angle. 4. Trail 4: Keeping the configuration same but introducing a third microphone at an angle of approx. 15◦ and 40 m to check pressure variation with change in the orientation of the microphone. This trial was carried out for similar configuration to check for repeatability. Results and discussion Reading sets of Amplitude (in Pa) v/s Time of the sound pressure wave (in s).The Fast Fourier Transform (FFT) of the readings were obtained using a MATLAB code. The peak amplitudes in the reading sets of the corresponding frequencies assisted in obtaining peak frequencies that came out to be 380 Hz in both Trials 3 and 4. This value was used for further trials. 19
  • 29. Table 3.2: Trial 3 MICROPHONE PRESSURE VALUE(Pa) 96 248 92 222 94 172 95 205 Table 3.3: Trial 4 MICROPHONE PRESSURE VALUE(Pa) 96 228 92 207 94 167 95 183 Figure 3.2: FFT graph using MATLAB 3.2 Numerical Analysis 3.2.1 Diaphragm Analysis Materials and methods Materials ANSYS WORKBENCH has been mainly used to carry out the di- aphragm designing and associated analysis. Since the aim was to match the frequency 20
  • 30. of gunshot and the natural frequency of vibrating diaphragm, Modal Analysis has been used extensively. The geometry of the diaphragm was selected to be a square. The other two possible options were that of the circular and rectangular shapes. However, the two were eliminated based of the following arguments: 1. Circular diaphragm fabrication would be difficult and etching would be non- uniform due to the selected material of wafer being Si that has a crystal lattice structure, unsuitable for circular cross section. 2. Rectangular geometry has been found to generate higher values of frequency than square geometry based on the analysis. Figure 3.3: Schematic of Diaphragm to be designed Methods The frequency testing trials were carried out and the gunshot frequency was found to be 380 Hz. Hence, the design was optimized based on this set value instead. The last row of dimensions were finalized. Material: • Silicon Oxide Wafer (SiO2) • Properties: ρ=2150 kg/m3 • E=70 GPa • ν= 0.17 • Thickness of Oxide Layer = 0.8 µm • Wafer Thickness = 290 µm 21
  • 31. Table 3.4: Iterations Set 1 Diaphragm Thickness Dimension-side of square Frequency (µm) (µm) (Hz) 1.5 5000 385.09 2 6000 355.36 2 5800 388.53 Table 3.5: Iterations Set 2 Diaphragm Thickness Dimension-side of square Frequency (µm) (µm) (Hz) 0.8 4500 489.93 0.8 4700 455.01 0.8 5000 400.9 0.8 5110 387.84 Results and Discussion The results obtained using Silicon Dioxide instead of Copper were found to be better on account of reduced dimensions. Also, Silicon Dioxide wafers were readily available and it would save time which can be efficiently spent in the sputtering process. The fabrication process would be faster and less complicated using this material. Hence, this design was finalized. Analysis on Software: Following specifications were used for the analysis: 1. Material : Silicon 2. Properties of silicon : • Density=2329 kg/m3 • Poissons ratio=0.22 • Youngs Modulus=1.3 × 1011 Pa 3. Final Dimensions: • Length X= 6.11 × 10−03 m • Length Y=2.9 × 10−04 m • Length Z=6.11 × 10−03 m Results of Analysis on Software: 22
  • 32. Figure 3.4: Modelled Diaphragm Figure 3.5: Modal Analysis Results Table 3.6: Result Table Mode Frequency(Hz) 1 387.84 2 783.97 3 789.06 4 1163.8 5 1414.1 6 1421.1 23
  • 33. Figure 3.6: First Four Mode Shapes 24
  • 34. Chapter 4 Manufacturing: 4.1 Fabrication 4.1.1 Positive Film Materials and Methods Positive Film Materials Modification of the mask design was done according to the final dimensions of the diaphragm on AutoCad 2008. The regular .dwg file was converted into Corel file.This corel file was further processed to print a photo-resistive positive/reversal film. Printing of the positive film was done at Khadilkar Process Studio, Pune. Four masks were developed, each of which consisted of 12 diaphragms. Film Developing Method A photo-resist is a light-sensitive material used in several industrial processes, such as photo-lithography and photoengraving to form a patterned coating on a surface. A positive resist is a type of photo-resist in which the portion of the photo-resist that is exposed to light becomes soluble to the photo-resist developer. The portion of the photo-resist that is unexposed remains insoluble to the photo-resist developer. The resist is exposed with UV light where the underlying material is to be removed. In these resists, exposure to the UV light changes the chemical structure of the resist so that it becomes more soluble in the developer. The exposed resist is then washed away by the developer solution, leaving windows of the bare underlying material. The mask, therefore, contains an exact copy of the pattern which is to remain on the wafer. 25
  • 35. Figure 4.1: Photofilm mask 4.1.2 Jig Designing and Manufacturing Materials and Methods Materials In order to accommodate the fabrication of the diaphragm, to be de- veloped in micro scale, the entire procedure could be carried out in the 500 ml. without spout tall form beaker. The 2” silicon wafer carrying the 12 diaphragms to be etched out thus needed a stand or support while it was being reacted upon chemically and thermally. For this the jig was designed and manufactured accordingly. All the neces- sary purposes were taken into consideration. These purposes were as follows: • The jig materials must be resist to harsh chemicals and must have high thermal resistance • The jig must accommodate the presence of a magnetic stirrer allowing it to comfortably stir the electrolytic solution • Due to the heating and stirring, the jig should not only permit but also facilitate the convective circulation of the electrolytic solution throughout the beaker • The height of the jig stand should be such the wafers must be well submerged into the solution 26
  • 36. • There must be a provision of a sealed lid so as to avoid the loss of vapours and assist in condensation • The lid must have a provision for accommodating a temperature sensing device in order to make note and thus record the resulting internal temperature at proper intervals Keeping the following purposes in mind, the jig plate and stand were fabricated using Teflon material while the connecting rods were made of ebonite. Both Teflon and ebonite show high thermal and chemical resistance and were thus chosen. However, at a higher aspect ratio, the Teflon becomes flexible and tends to show buckling and bending nature, hence, ebonite which is sturdier was used. The electrolytic solution as discussed in the diaphragm fabrication, is TMAH which is to be heated at roughly 85-90◦ C Methods The jig along with its stand and the lid were initially designed in SOLIDWORKS and later manufactured at the workshop facility. The stand has slots proportionately created to permit the silicon wafers to just stand upright without lean- ing onto the adjacent wafer or falling off without support. The stand also has through holes at regular intervals for proper circulation of the solution. This depth of slots and the holes were estimated intuitively. The lid has a slot for inserting a glass tube that holds the temperature measuring device. 4.1.3 Diaphragm Fabrication Materials and Methods Thickness Measurement Dial gauge was used to measure the original wafer thickness. The least count of the gauge was 1µm. The maximum possible reading that the dial gauge could measure was 290µm Parameters • Po number- PSH 90813-30 • Diameter- 50.8±0.3 mm • Thickness- 275±25 • Surface Finish- SSP • Type of dopant- P type/Boron 27
  • 37. Figure 4.2: Jig Product and Model • Resistivity- 10-20 Ωcm • Orientation- {1 0 0} • Thermal Oxide- 8000±200 A◦ • Quantity- 25 pieces 1. Isopropyl Alcohol Cleaning : The cleaning process took place in two steps namely, the IPA-1 dip and the IPA-2 dip. After IPA-2, the wafer was submerged in DI (Di-ionized) water. Both the dips were carried out for 5 minutes to remove dust particles in an ultrasonic bath provided with sufficient excitation. 2. Spin coating : To carry out spin coating, a positive photo-resist, AZ1514H (Methoxy-propyl Acetate) was used. Photo-resist is wavelength specific. It is a polymer and when exposed to white light (UV) the polymer chain breaks for positive photo-resists and the chain forms for negative photo-resists. The 2 wafers were gently placed one at a time on the spin coating machine and applied a drop of the photo-resist from the top exactly in the centre of the wafer. The spin coating was carried out at 5000 rpm for 40 seconds. 28
  • 38. After the coating was done, the coated wafer was dried under N2 gas. A colour change was observed confirming the presence of oxide layer formation. Then the wafers were placed on a hot plate at 100◦ C for about 1 min. 3. Pre-exposure bake : Yet again, the wafers were placed on the hot plate for 1 minute before the final exposure. 4. Exposure : The wafers were exposed to UV light for 12 s. The mask was placed above the wafer during exposure in order to get the pattern imprinted onto the wafer. 5. : Development : The wafers were initially immersed in 1:4 ratio of DI water. Then they were dipped in AZ351B developer for 2 minutes. After the wafer was removed from the solution of DI water it was tilted it to a certain inclination angle to observe the hydrophobic nature of silicon. Then the wafers were dipped in buffered HF solution for 2 minutes. Glass beaker was refrained from use, rather a Teflon beaker was used to contain HF, as HF is an extremely reactive agent. The wafers were submerged in IPA solution again. Following which, the photo-resist was stripped off by dipping the wafer in Acetone. Figure 4.3: Developed Wafer 6. TMAH Procedure : To carry out the main etching process, TMAH etchant at a temperature of 85◦ C was finalised upon. However, this temperature was not achieved in the first trial run on account of continuous air circulation in the wet bench. Hence, additional insulation was provided to the beaker by means of a Teflon sheet of approximately 7 mm thickness. 7. Removing of the native oxide : The wafers were initially cleaned in Acetone for 29
  • 39. 30 s. Then they were dipped into a solution of buffered HF. Buffered solution consists of ammonium chloride and HF, also known as BHF or BOE. Figure 4.4: Setup for Etching 8. TMAH etch (first half) • Etching start time- 12:07 pm • Etching stop time- 5:07 pm • Etch- 100 110 µm 9. TMAH etch (second half) • Etching start time- 11:30 am • Etching stop time- 4:30 pm • Etch- 150 µm 10. : Rinsing : The wafers were rinsed by dipping them in DI water and dried under N2. Results and Discussion Reason for roughness: Wafer immersed with the non-polished surface exposed to the etchant. The polished side was kept facing the other wafer such that surface irregularities were observed in the final product. Only one corner at a time could be observed for the specified resolution. 30
  • 40. Figure 4.5: Etched out wafer Figure 4.6: Profile of finished wafer with smooth surface Figure 4.7: Profile of finished wafer with rough surface 31
  • 41. Figure 4.8: SEM plot 1 of finished wafer profile Figure 4.9: SEM plot 2 of finished wafer profile 32
  • 42. 4.2 Packaging 4.2.1 Materials and Methods Materials The diaphragm needed packaging, such that it remained protected from the external environment, noise and vibrations. The main purpose of creating the packaging was as follows: • To protect chip from outside influences and vice versa • To provide a controlled electrical, thermal, mechanical, and/or optical interface between the sensor, its associated components, and its environment The main intention was to have a ceramic or metallic package completely sealed with the diaphragm and a unidirectional microphone to be kept facing to this diaphragm. However, for developing the prototype, the 3D printer facility was available thus leading to a plastic 3D printed package, serving as a tentative prototype. Thus, the main objective was to package the sensor to act as a mechanical filter ensuring that the response was obtained only for a particular desired frequency. Method Cup and Cone Arrangement: The design constituted of a circular base with a cone shaped lid that could be easily fitted by inserting the protruding legs of the cone into the grooves of the base similar to the Bayonet Mount. It was designed in Pro-E and was fed as input into the 3D printer to obtain the layered plastic resin. Fine print was selected. The completion of both cup and cone together lasted for about 90 minutes. Following which, the diaphragm was pasted onto the square slot provided and the microphone was attached in the circular slot underneath. 4.2.2 Results and Discussion The above procedure was a fast and simplified technique adopted to achieve packaging of the acoustic filter. However, the packaging was temporary as the material was compromised upon. The best suited material in use these days is ceramic or metallic packaging. Of which again the metallic is given higher weightage due to high reliability and it is considered best fit for the military applications. Another consideration here in terms of material comparison was that the modulus of rigidity of plastic, thus used, 33
  • 43. Figure 4.10: Pro-E model of package top and circular base was less than ceramic or metal that could have been used, leading to the additional vibrations of the package, thus lowering the accuracy of the results. Figure 4.11: 3D Printed Plastic Model 34
  • 44. Chapter 5 Testing: 5.1 Trials The aim of conducting trials was to test the response of the microphone-diaphragm filter assembly. Since the diaphragm was designed to have maximum amplitude of vibration at 380 Hz frequency of excitation, the output in the oscilloscope was ex- pected to have maximum amplitude at this frequency and lesser amplitude at other frequencies. 5.1.1 Materials and Methods Materials A sound source (frequency generator) and an oscilloscope were used as a electronic support systems to carry out the trials. The amplitude of sound from the source being minute, the sensor had to be placed in close range to the speaker to ensure a response on the oscilloscope. The output received from the sensor was too small to be detected and thus a pre-amplifier circuit has been made use of for amplification purpose. The details of the circuit are as follows: • Power supply- 5 − 12 V D.C. at 10 mA • Output: Gain 100 • On board electrets condenser microphone • Power- On LED indicator • CN1- DC supply input 5 − 12 V DC • CN2- Audio signal output 35
  • 45. • D1- power indicator Figure 5.1: Trial setup at Lab Method First, the trial was conducted without the diaphragm to check if the output reading through the condenser microphone corresponded with the input frequency. Later, the diaphragm was attached to the sensor assembly and tests were repeated. 5.1.2 Results and Discussion The output frequency of the sensor with and without diaphragm remained the same. It was observed that the amplitude was less for frequencies lower than 350 Hz and then suddenly increased as the frequency reached 380 Hz. At 390.4 Hz, the amplitude decreased and after 800 Hz. it rapidly increased. The peak of this increase was reached at 8160 Hz, after which it decreased. 36
  • 46. Chapter 6 Conclusion 1. The Fast Fourier Transform of the peak amplitude readings obtained from the sets assisted in obtaining the peak frequency of 380 Hz selected for designing and manufacturing of the diaphragm. 2. Based on the numerical analysis, it was finalised that SiO2 wafers would not only shrink dimensions but also make the fabrication process faster, less complicated and more efficient. 3. 3D printed Plastic Model as a temporary package was developed, being a fast and simplified technique for packaging of acoustic filters over the ceramic or metallic counterparts. Keeping in mind the serious assumptions in the form of compromising of material in terms of rigidity and the likes, the drop in the accuracy of the system has been observed and taken note of. However, the main intention of successfully designing and developing a MEMS based Acoustic Filter has been given priority over the accuracy factor. 4. The trial output validate the intent by showing a global peak in amplitude at the desired frequency of 380 Hz. However, accounting for the low accuracy and efficiency of the device, a range of like peaks are visible across the desired fre- quency. 37
  • 47. Chapter 7 Future Scope 1. In order to minimize the variation in the output from the desired, • Manufacturing a hermetically sealed metal package with glass to metal seals welded to it accounting for overall size reduction and increasing reliability and suitability for military applications could be done beyond the current temporary devised plastic 3D printed model. • Also by trying different other ways of attaching and/or mounting the di- aphragm onto the base structure and by varying its alignment with respect to the package base. 2. Another future suggestion is to align similar such diaphragms either in an array form or cubical orientation which would thus transform gunshot indicator to an acoustic vector sensor which will in turn assist to account for the directionality. 38
  • 48. Chapter 8 References [1] MEMS Mechanical Sensors Stephen Beeby, et.al. Artech House, Inc. ISBN 1- 58053-536-4 [2] Microsystem Design Stephen D. Senturia Kluwer Academic Publ. eBook ISBN: 0-306-47601-0 Print ISBN: 0-7923-7246-8 [3] MEMS Applications Mohamed Gad-el-Hak Taylor and Francis Group CRC Press ISBN 0-8493-9139-3 [4] Stanford Nano Facility (SNF) tours [5] DOE-STD-3020-2005 Specification for HEPA used by DOE Contractors [6] www.microchem.com/Tech-LithoTerms.htm [7] www.smartcoater.com/spin-coating-theory.htm [8] McMullan, D SEM 1928-1965, Scaning 17 (3): 175 [9] Wet-Chemical Etching and Cleaning of Silicon January 2003 Virginia Semicon- ductor Inc. 39