SlideShare a Scribd company logo
1 of 3
Download to read offline
FPGAs for Signal Processing and
Embedded Applications
About the Seminar:
Field Programmable Gate Arrays (FPGAs) are finding
prominence in many applications such as complex signal
processing, communication, networking and control systems.
FPGAs have surpassed the ASICs by increasing gate counts by
more than three orders of magnitude since their inception
about two decades ago. Also, they have added high-speedI/Os,
embedded memories, dedicated Phase-Locked Loops (PLLs),
and embedded processors. An important aspect of FPGAs is the
availability of pre-designed circuit blocks in the form of
Intellectual Property (IP) that designers can license and
integrate into their system. FPGA based system design is
finding its place in many fields such as medical, automotive,
industrial, commercial and military. FPGAs support
reconfigurability of complex digital designs, multicore
processors, DSP and communication block sets along with
debugging capabilities. However, many of the current designs
get implemented on microcontrollers and ASICs. One of the
reasons for this is the lack of awareness and ease of working
with the FPGA software andhardware tools. In order to exploit
the capabilities of FPGAs and to develop complex applications
with reduced time, it is required to understand and practice the
correct methodology and follow the guidelines while working
with FPGAs. Engineers need to know the shortcuts and industry
practices for successfully designing applications using FPGAs.
Also it is required to understand the software solutions and
tools available for designing and debugging FPGAs. There is a
frequent need to develop customized boards using FPGAs. This
seminar is one such event which introduces and demonstrates
the best practices of FPGA in industry. In order to bridge the
awareness gap this three day seminar on "FPGAs for Signal
Processing and Embedded Applications" would cover the
following objectives:
'S.To discuss the challenges and opportunities in FPGA based
system design
■Js.To understand the basic/advanced FPGA architecture and
FPGA design flow
■Js-To design complex systems using FPGAs without HDLs and
Implement the design with area and timing optimization on
FPGA
■S-To design, implement and debug signal processing and
embedded applications on FPGAs
understand the challenges and solution procedures in
developing FPGA based High Speed Boards
Who can attend?
Experienced Engineers, working professionals, faculties,
students (M.Tech./B.E. / Diploma) and fresher
Prerequisites:
Participants need to have basic understanding of digitalcircuits,
HDLs, signal processing and embedded systems

More Related Content

Viewers also liked

Irrigation controller-system
Irrigation controller-systemIrrigation controller-system
Irrigation controller-systemSHIVAKUMAR A
 
1st fpga startup seminar keynote
1st fpga startup seminar keynote1st fpga startup seminar keynote
1st fpga startup seminar keynoteImaoka Micihihiro
 
Virtual retinal display ppt
Virtual retinal display pptVirtual retinal display ppt
Virtual retinal display pptHina Saxena
 
FPGA in outer space seminar report
FPGA in outer space seminar reportFPGA in outer space seminar report
FPGA in outer space seminar reportrahul kumar verma
 
Irrigation Controller System
Irrigation Controller SystemIrrigation Controller System
Irrigation Controller SystemRajasekar .M.K.
 
Wireless power transmission ppt
Wireless power transmission pptWireless power transmission ppt
Wireless power transmission pptAishwary Verma
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGAvelamakuri
 

Viewers also liked (8)

Irrigation controller-system
Irrigation controller-systemIrrigation controller-system
Irrigation controller-system
 
1st fpga startup seminar keynote
1st fpga startup seminar keynote1st fpga startup seminar keynote
1st fpga startup seminar keynote
 
Fpga
FpgaFpga
Fpga
 
Virtual retinal display ppt
Virtual retinal display pptVirtual retinal display ppt
Virtual retinal display ppt
 
FPGA in outer space seminar report
FPGA in outer space seminar reportFPGA in outer space seminar report
FPGA in outer space seminar report
 
Irrigation Controller System
Irrigation Controller SystemIrrigation Controller System
Irrigation Controller System
 
Wireless power transmission ppt
Wireless power transmission pptWireless power transmission ppt
Wireless power transmission ppt
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGA
 

Similar to FPGA Seminar

A Review of FPGA-based design methodologies for efficient hardware Area estim...
A Review of FPGA-based design methodologies for efficient hardware Area estim...A Review of FPGA-based design methodologies for efficient hardware Area estim...
A Review of FPGA-based design methodologies for efficient hardware Area estim...IOSR Journals
 
FPGA Design Challenges
FPGA Design ChallengesFPGA Design Challenges
FPGA Design ChallengesKrishna Gaihre
 
Digital Signal Processing with FPGAs for Accelerated AI
Digital Signal Processing with FPGAs for Accelerated AIDigital Signal Processing with FPGAs for Accelerated AI
Digital Signal Processing with FPGAs for Accelerated AILogic Fruit Technologies
 
Dsp Based Field Programable Gate Array
Dsp Based Field Programable Gate ArrayDsp Based Field Programable Gate Array
Dsp Based Field Programable Gate Arraydecebems
 
State Of FPGA: Current & Future - A Panel discussion @ 4th FPGA Camp
State Of FPGA: Current & Future - A Panel discussion @ 4th FPGA CampState Of FPGA: Current & Future - A Panel discussion @ 4th FPGA Camp
State Of FPGA: Current & Future - A Panel discussion @ 4th FPGA CampFPGA Central
 
Broadcom Bangalore Openings
Broadcom Bangalore OpeningsBroadcom Bangalore Openings
Broadcom Bangalore OpeningsKathir Avan
 
Jay_Vicory_Resume_2018
Jay_Vicory_Resume_2018Jay_Vicory_Resume_2018
Jay_Vicory_Resume_2018Jay Vicory
 
Achieve High-Performance with Optimizing Device Specifications in FPGA Design
Achieve High-Performance with Optimizing Device Specifications in FPGA DesignAchieve High-Performance with Optimizing Device Specifications in FPGA Design
Achieve High-Performance with Optimizing Device Specifications in FPGA DesignLogic Fruit Technologies
 
Electronic Hardware Design with FPGA
Electronic Hardware Design with FPGAElectronic Hardware Design with FPGA
Electronic Hardware Design with FPGAKrishna Gaihre
 
CSE 690 Internship at NIKE Inc Final Report
CSE 690 Internship at NIKE Inc Final ReportCSE 690 Internship at NIKE Inc Final Report
CSE 690 Internship at NIKE Inc Final ReportCA Technologies
 
SoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~EmbeddedSoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~EmbeddedChili.CHIPS
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONieijjournal
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONieijjournal
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONieijjournal1
 

Similar to FPGA Seminar (20)

A Review of FPGA-based design methodologies for efficient hardware Area estim...
A Review of FPGA-based design methodologies for efficient hardware Area estim...A Review of FPGA-based design methodologies for efficient hardware Area estim...
A Review of FPGA-based design methodologies for efficient hardware Area estim...
 
FPGA Design Challenges
FPGA Design ChallengesFPGA Design Challenges
FPGA Design Challenges
 
Digital Signal Processing with FPGAs for Accelerated AI
Digital Signal Processing with FPGAs for Accelerated AIDigital Signal Processing with FPGAs for Accelerated AI
Digital Signal Processing with FPGAs for Accelerated AI
 
DSP by FPGA
DSP by FPGADSP by FPGA
DSP by FPGA
 
Dsp Based Field Programable Gate Array
Dsp Based Field Programable Gate ArrayDsp Based Field Programable Gate Array
Dsp Based Field Programable Gate Array
 
Subhadeep fpga-vs-mcu
Subhadeep fpga-vs-mcuSubhadeep fpga-vs-mcu
Subhadeep fpga-vs-mcu
 
State Of FPGA: Current & Future - A Panel discussion @ 4th FPGA Camp
State Of FPGA: Current & Future - A Panel discussion @ 4th FPGA CampState Of FPGA: Current & Future - A Panel discussion @ 4th FPGA Camp
State Of FPGA: Current & Future - A Panel discussion @ 4th FPGA Camp
 
Broadcom Bangalore Openings
Broadcom Bangalore OpeningsBroadcom Bangalore Openings
Broadcom Bangalore Openings
 
FPGA
FPGAFPGA
FPGA
 
ASIC VS FPGA.ppt
ASIC VS FPGA.pptASIC VS FPGA.ppt
ASIC VS FPGA.ppt
 
Jay_Vicory_Resume_2018
Jay_Vicory_Resume_2018Jay_Vicory_Resume_2018
Jay_Vicory_Resume_2018
 
Achieve High-Performance with Optimizing Device Specifications in FPGA Design
Achieve High-Performance with Optimizing Device Specifications in FPGA DesignAchieve High-Performance with Optimizing Device Specifications in FPGA Design
Achieve High-Performance with Optimizing Device Specifications in FPGA Design
 
Electronic Hardware Design with FPGA
Electronic Hardware Design with FPGAElectronic Hardware Design with FPGA
Electronic Hardware Design with FPGA
 
CSE 690 Internship at NIKE Inc Final Report
CSE 690 Internship at NIKE Inc Final ReportCSE 690 Internship at NIKE Inc Final Report
CSE 690 Internship at NIKE Inc Final Report
 
SoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~EmbeddedSoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~Embedded
 
FPGAPpr_final
FPGAPpr_finalFPGAPpr_final
FPGAPpr_final
 
Ackelware sl
Ackelware slAckelware sl
Ackelware sl
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 

FPGA Seminar

  • 1.
  • 2.
  • 3. FPGAs for Signal Processing and Embedded Applications About the Seminar: Field Programmable Gate Arrays (FPGAs) are finding prominence in many applications such as complex signal processing, communication, networking and control systems. FPGAs have surpassed the ASICs by increasing gate counts by more than three orders of magnitude since their inception about two decades ago. Also, they have added high-speedI/Os, embedded memories, dedicated Phase-Locked Loops (PLLs), and embedded processors. An important aspect of FPGAs is the availability of pre-designed circuit blocks in the form of Intellectual Property (IP) that designers can license and integrate into their system. FPGA based system design is finding its place in many fields such as medical, automotive, industrial, commercial and military. FPGAs support reconfigurability of complex digital designs, multicore processors, DSP and communication block sets along with debugging capabilities. However, many of the current designs get implemented on microcontrollers and ASICs. One of the reasons for this is the lack of awareness and ease of working with the FPGA software andhardware tools. In order to exploit the capabilities of FPGAs and to develop complex applications with reduced time, it is required to understand and practice the correct methodology and follow the guidelines while working with FPGAs. Engineers need to know the shortcuts and industry practices for successfully designing applications using FPGAs. Also it is required to understand the software solutions and tools available for designing and debugging FPGAs. There is a frequent need to develop customized boards using FPGAs. This seminar is one such event which introduces and demonstrates the best practices of FPGA in industry. In order to bridge the awareness gap this three day seminar on "FPGAs for Signal Processing and Embedded Applications" would cover the following objectives: 'S.To discuss the challenges and opportunities in FPGA based system design ■Js.To understand the basic/advanced FPGA architecture and FPGA design flow ■Js-To design complex systems using FPGAs without HDLs and Implement the design with area and timing optimization on FPGA ■S-To design, implement and debug signal processing and embedded applications on FPGAs understand the challenges and solution procedures in developing FPGA based High Speed Boards Who can attend? Experienced Engineers, working professionals, faculties, students (M.Tech./B.E. / Diploma) and fresher Prerequisites: Participants need to have basic understanding of digitalcircuits, HDLs, signal processing and embedded systems