SlideShare a Scribd company logo
1 of 30
Download to read offline
Высоконагруженная распределенная
система управления современной АЭС
Вадим Подольный, 2018
FACTOR-TC UI CONCEPT
АСУ ТП, IIoT, ПЛАТФОРМЫ
Физприбор — предприятие полного цикла
Моделирование СУУ ТП
СВУ АСУ ТП РСУ
Шкафы РСУ ДСЗ
Контроллеры
Устройства
IIoT, IoT
КибербезопасностьАСУТП
Верификация&Валидация
Вводвэксплуатацию
Модернизация
Сопровождение
Проектирование
FACTOR-TC UI CONCEPT
АСУ ТП, IIoT, КОНТРОЛЛЕРЫ
Intel Atom E3845
Freescale CoreIQ P1022
Multicore 02, Elise
Xilinx Spartan
Эльбрус 1С+
Байкал Т1
ARM 7
FACTOR-TC UI CONCEPT
АСУ ТП, IIoT, КОНТРОЛЛЕРЫ - НПЛ
Моделирование ТП
Проектирование алгоритма
Код на языке Си и др.
Языки МЭК IEC61131-3
Модули жесткой логики
Проектирование схемы
PDM, PLM, выбор ЭКБ
Производство
Тестирование на стенде
FACTOR-TC UI CONCEPT
АСУ ТП, IIoT, КБ КИИ
Резервирование
Изоляция
Технолог. разнообразие (Intel, Power, ARM, НПЛ)
Доверенное производство
Сертификация и аттестация (нормы АЭ)
Конкурентоспособность экспорта
FACTOR-TC UI CONCEPT
Технологическое разнообразие
Intel
Intel
Intel
Intel
Intel
Intel
Intel
Intel
AMD
Power
ARM
Elbrus
FPGA
HardLogic
VS
6
FACTOR-TC UI CONCEPT
Количественное разнообразие и избыточность
7
8
FACTOR-TC UI CONCEPT
Алгоритм диверсной защиты
FACTOR-TC UI CONCEPT
Контроллеры. Дизайн и Реальное изделие
9
FACTOR-TC UI CONCEPT
СВУ АСУ ТП, РСУ, IIoT. ПП - Вершок
10
WhereShock. Типы узлов
Data
Archive
Logic
Core API
UI API
HMI
RT Node
Archive operational
Orchestrator
RT Node Client
HMI
Project Editor
RTN
AOP
ORC
RNC
HMI
PED
MetaData DB
Archive emergency
Reports generator
Agent access
Report Editor
MTD
AEM
RGN
AAC
RED
Real Time DB
Archive long term
Calculation core
Driver connection
HMI Editor
RTD
ALT
ADT
DCN
HED
11
WhereShock. Ядро - CoreShock
Контроллеры
Шлюзы
OPC Агрегатор
OPC Сервер
Core API Cloud / Cluster UI API
Команды
Тревоги, квитирование
RTN
RTN
RTN
RTN
RTN
RTN
RTN
RTN
RTD
RTD
RTD
RTD
RTD
RTD
RTD
RTD
MTD
MTD
MTD
MTD
MTD
MTD
MTD
MTD
ORC
ORC
AOP
AOP
DCN
DCN
AAC
AAC
ALT
ALT
CCR
CCR
RGN
RGNRNC
RNC
RNC
RNC
ALT
ALT
CCR
RNC
RNC
RNC
RNC
AEM
HMI
PED
HED
HED
12
FACTOR-TC UI CONCEPTВершок & Корешок (из презентации на En)
Top [Russian] – “WhereShock”
Top level System
Little Root [Russian] – “CoreShock”
like a micro-service
13
FACTOR-TC UI CONCEPTКластаер РСУ, Edge, Fog
Cloud / ClusterCloud / Cluster
RTN
RTN
RTN
RTN
RTN
RTN
…
…
…
…
…
…
Cloud / ClusterFog / Edge Nodes
Fog Node
Fog Node
Fog Node
Edge Node
Edge Node
Edge Node
RNC
RNC
RNC
RNC
RNC
RNC
14
FACTOR-TC UI CONCEPTКластер РСУ, Edge, Fog
Cloud / ClusterCloud / Cluster
ALT
ALT
RGN
RGN
CCR
CCR
…
…
01
01
CCR
CCR
02
02
M
N
Cloud / ClusterFog / Edge Nodes
Fog Node
Fog Node
Fog Node
Edge Node
Edge Node
Edge Node
CCR
CCR
Задачи
Запрос
Запрос
15
WhereShock. Сопряжение систем
Cloud / ClusterCloud / Cluster
RTN
RTN
RTN
RTN
RTN
RTN
…
…
…
…
…
…
…
…
01
01
02
02
M
N
RNC AEM
Cloud / ClusterСистемы
Physical security
Fire safety
I&C / IIoT
IT Infrastructure
SIEM
SOC / UEBA
RNC
RNC
RNC
RNC
RNC
RNC
Команды
Тревоги, Квитирование
16
FACTOR-TC UI CONCEPTWhereShock. Типы узлов
Высокопроизводительный,
низколатентный кластер. Туманные узлы
- Supermicro Miroblade 6U
- 28 Blades x 2 Xeon E5 v4 2630
- 10 / 40 / 100 GbE
- Активное охлаждение
- Физприбор 6U (Eurocard IEEE 1101.2)
- 20 Blades x 2 Atom E3845
- 1GbE
- Пассивное охлаждение
17
Сверхнадежный кластер, с пасивным
охлаждением. Граничные узлы
FACTOR-TC UI CONCEPTWhereShock, CoreShock. Некоторые свойства
Программный интерконннект реального времени
Архитектура Multi-Master, Mult-Tenant
Избыточность (Redundancy)
Диверсность (Diversity)
Кросс-платформенность (W, L, M, A, I, B)
Открытая архитектура, ППИ
Secure by design
18
FACTOR-TC UI CONCEPT
RTN = 1 x Atom E3845 1GB / 1GbE
RTN = 1 x Atom E3845 1GB / 1GbE
0,8M / Sec Redundancy Sync
0,6M / Sec Redundancy Sync
4
8
x
x
=
=
RTN = 2 x Xeon E5 2630 / v4 64GB / 10GbE
RTN = 2 x Xeon E5 2630 / v4 64GB / 10GbE
7M / Sec Redundancy Sync
5M / Sec Redundancy Sync
4
8
x
x
=
=
19
WhereShock, CoreShock. Некоторые показатели
FACTOR-TC UI
20
WhereShock. Дерево объектов
21
WhereShock. Тревоги
WhereShock. Видеокадры
21
FACTOR-TC UI CONCEPT
Стенд на предприятии
FACTOR-TC UI CONCEPTКонфигуратор. БДРВ и Метаданные
FACTOR-TC UI CONCEPTКонфигуратор. Видеокадры привязка
23
FACTOR-TC UI CONCEPT
Конфигуратор. Система разграничения доступа
FACTOR-TC UI CONCEPTПрогностика, Машинное обучение
Моделирование технологических процессов (CFD)
Машинное обучение, нейросети, нечеткая логика
Прогнозная модель (Статистика, AI)
Обратные связи АСУ ТП, РСУ
Усовершенствованое управление (СУУ ТП, APC)
Оптимизация надежности, безопасности и экономики
22
FACTOR-TC UI CONCEPTБПУ АЭС
23
FACTOR-TC UI CONCEPT
Виртуальный ПУ. БЩУ - БПУ - ВПУ
FACTOR-TC UI CONCEPT
Спасибо за внимание
Вадим Подольный
+7(916) 530-46-56
vpp@fizpribor.ru
vadim.podolniy@gmail.com

More Related Content

What's hot

Стресс тестирование процессора plug-компьютера
Стресс тестирование процессора plug-компьютераСтресс тестирование процессора plug-компьютера
Стресс тестирование процессора plug-компьютераSQALab
 
Развитие платформы Cisco ASR 9000: Технологическое лидерство.
Развитие платформы Cisco ASR 9000: Технологическое лидерство. Развитие платформы Cisco ASR 9000: Технологическое лидерство.
Развитие платформы Cisco ASR 9000: Технологическое лидерство. Cisco Russia
 
Обзор и развитие продуктов линейки Unified Ethernet Access
 Обзор и развитие продуктов линейки Unified Ethernet Access Обзор и развитие продуктов линейки Unified Ethernet Access
Обзор и развитие продуктов линейки Unified Ethernet AccessCisco Russia
 
Развитие платформы ASR 9000
Развитие платформы ASR 9000Развитие платформы ASR 9000
Развитие платформы ASR 9000Cisco Russia
 
Инновации Cisco: эволюция оборудования для построения сетей доступа и агрегац...
Инновации Cisco: эволюция оборудования для построения сетей доступа и агрегац...Инновации Cisco: эволюция оборудования для построения сетей доступа и агрегац...
Инновации Cisco: эволюция оборудования для построения сетей доступа и агрегац...Cisco Russia
 
История развития микроконтроллеров
История развития микроконтроллеровИстория развития микроконтроллеров
История развития микроконтроллеровIoT Community
 
Лекция 2. Оптимизация ветвлений и циклов (Branch prediction and loop optimiz...
Лекция 2. Оптимизация ветвлений и циклов (Branch prediction and loop optimiz...Лекция 2. Оптимизация ветвлений и циклов (Branch prediction and loop optimiz...
Лекция 2. Оптимизация ветвлений и циклов (Branch prediction and loop optimiz...Mikhail Kurnosov
 
Развитие платформы Cisco ASR 9000
Развитие платформы Cisco ASR 9000Развитие платформы Cisco ASR 9000
Развитие платформы Cisco ASR 9000Cisco Russia
 
Архитектура платформы Cisco ASR 9000
 Архитектура платформы Cisco ASR 9000 Архитектура платформы Cisco ASR 9000
Архитектура платформы Cisco ASR 9000Cisco Russia
 
охранно пожарное оборудование астра
охранно пожарное оборудование астраохранно пожарное оборудование астра
охранно пожарное оборудование астраТеко - Торговый Дом
 
астра, теко
астра, текоастра, теко
астра, текоjournalrubezh
 
Обновления в серии маршрутизаторов ASR9k
Обновления в серии маршрутизаторов ASR9kОбновления в серии маршрутизаторов ASR9k
Обновления в серии маршрутизаторов ASR9kCisco Russia
 
SPEC CPU2006 Rate
SPEC CPU2006 RateSPEC CPU2006 Rate
SPEC CPU2006 RateOleg Korol
 
Новое оборудование для построения Метро сетей (3600-24CX,ASR901/903/9001)
Новое оборудование для построения Метро сетей (3600-24CX,ASR901/903/9001) Новое оборудование для построения Метро сетей (3600-24CX,ASR901/903/9001)
Новое оборудование для построения Метро сетей (3600-24CX,ASR901/903/9001) Cisco Russia
 
вебинар «новые решения Moxa n port»
вебинар «новые решения Moxa n port»вебинар «новые решения Moxa n port»
вебинар «новые решения Moxa n port»Виталий Бош
 
Обзор и новинки продуктовой линейки маршрутизаторов Cisco ASR 1000. Архитекту...
Обзор и новинки продуктовой линейки маршрутизаторов Cisco ASR 1000. Архитекту...Обзор и новинки продуктовой линейки маршрутизаторов Cisco ASR 1000. Архитекту...
Обзор и новинки продуктовой линейки маршрутизаторов Cisco ASR 1000. Архитекту...Cisco Russia
 
Модуль доверенной загрузки "Соболь". Новая версия флагманского продукта
Модуль доверенной загрузки "Соболь".  Новая версия флагманского продукта  Модуль доверенной загрузки "Соболь".  Новая версия флагманского продукта
Модуль доверенной загрузки "Соболь". Новая версия флагманского продукта Security Code Ltd.
 

What's hot (20)

Am3
Am3Am3
Am3
 
Стресс тестирование процессора plug-компьютера
Стресс тестирование процессора plug-компьютераСтресс тестирование процессора plug-компьютера
Стресс тестирование процессора plug-компьютера
 
Развитие платформы Cisco ASR 9000: Технологическое лидерство.
Развитие платформы Cisco ASR 9000: Технологическое лидерство. Развитие платформы Cisco ASR 9000: Технологическое лидерство.
Развитие платформы Cisco ASR 9000: Технологическое лидерство.
 
Обзор и развитие продуктов линейки Unified Ethernet Access
 Обзор и развитие продуктов линейки Unified Ethernet Access Обзор и развитие продуктов линейки Unified Ethernet Access
Обзор и развитие продуктов линейки Unified Ethernet Access
 
Развитие платформы ASR 9000
Развитие платформы ASR 9000Развитие платформы ASR 9000
Развитие платформы ASR 9000
 
Инновации Cisco: эволюция оборудования для построения сетей доступа и агрегац...
Инновации Cisco: эволюция оборудования для построения сетей доступа и агрегац...Инновации Cisco: эволюция оборудования для построения сетей доступа и агрегац...
Инновации Cisco: эволюция оборудования для построения сетей доступа и агрегац...
 
История развития микроконтроллеров
История развития микроконтроллеровИстория развития микроконтроллеров
История развития микроконтроллеров
 
Лекция 2. Оптимизация ветвлений и циклов (Branch prediction and loop optimiz...
Лекция 2. Оптимизация ветвлений и циклов (Branch prediction and loop optimiz...Лекция 2. Оптимизация ветвлений и циклов (Branch prediction and loop optimiz...
Лекция 2. Оптимизация ветвлений и циклов (Branch prediction and loop optimiz...
 
Развитие платформы Cisco ASR 9000
Развитие платформы Cisco ASR 9000Развитие платформы Cisco ASR 9000
Развитие платформы Cisco ASR 9000
 
Архитектура платформы Cisco ASR 9000
 Архитектура платформы Cisco ASR 9000 Архитектура платформы Cisco ASR 9000
Архитектура платформы Cisco ASR 9000
 
охранно пожарное оборудование астра
охранно пожарное оборудование астраохранно пожарное оборудование астра
охранно пожарное оборудование астра
 
астра, теко
астра, текоастра, теко
астра, теко
 
Обновления в серии маршрутизаторов ASR9k
Обновления в серии маршрутизаторов ASR9kОбновления в серии маршрутизаторов ASR9k
Обновления в серии маршрутизаторов ASR9k
 
SPEC CPU2006 Rate
SPEC CPU2006 RateSPEC CPU2006 Rate
SPEC CPU2006 Rate
 
12. spi
12. spi12. spi
12. spi
 
Новое оборудование для построения Метро сетей (3600-24CX,ASR901/903/9001)
Новое оборудование для построения Метро сетей (3600-24CX,ASR901/903/9001) Новое оборудование для построения Метро сетей (3600-24CX,ASR901/903/9001)
Новое оборудование для построения Метро сетей (3600-24CX,ASR901/903/9001)
 
вебинар «новые решения Moxa n port»
вебинар «новые решения Moxa n port»вебинар «новые решения Moxa n port»
вебинар «новые решения Moxa n port»
 
Обзор и новинки продуктовой линейки маршрутизаторов Cisco ASR 1000. Архитекту...
Обзор и новинки продуктовой линейки маршрутизаторов Cisco ASR 1000. Архитекту...Обзор и новинки продуктовой линейки маршрутизаторов Cisco ASR 1000. Архитекту...
Обзор и новинки продуктовой линейки маршрутизаторов Cisco ASR 1000. Архитекту...
 
Модуль доверенной загрузки "Соболь". Новая версия флагманского продукта
Модуль доверенной загрузки "Соболь".  Новая версия флагманского продукта  Модуль доверенной загрузки "Соболь".  Новая версия флагманского продукта
Модуль доверенной загрузки "Соболь". Новая версия флагманского продукта
 
Antonenko LinkMeUp SDN
Antonenko LinkMeUp SDNAntonenko LinkMeUp SDN
Antonenko LinkMeUp SDN
 

Similar to HighLoad++ 2018 Высоконагруженная распределенная система управления современной АЭС

TMPA-2013 Smirnov
TMPA-2013 SmirnovTMPA-2013 Smirnov
TMPA-2013 SmirnovIosif Itkin
 
Стек протоколов для IoT. Пример использования SNMP
Стек протоколов для IoT. Пример использования SNMPСтек протоколов для IoT. Пример использования SNMP
Стек протоколов для IoT. Пример использования SNMPIntersog
 
Смарт - Технологии, Взгляд Со Стороны Разработчика
Смарт - Технологии, Взгляд Со Стороны РазработчикаСмарт - Технологии, Взгляд Со Стороны Разработчика
Смарт - Технологии, Взгляд Со Стороны РазработчикаPositive Hack Days
 
IoT Protocols #iotconfua
IoT Protocols #iotconfuaIoT Protocols #iotconfua
IoT Protocols #iotconfuaAndy Shutka
 
Подробный технический обзор коммутаторов Cisco ME3800X/3600X
Подробный технический обзор коммутаторов Cisco ME3800X/3600XПодробный технический обзор коммутаторов Cisco ME3800X/3600X
Подробный технический обзор коммутаторов Cisco ME3800X/3600XCisco Russia
 
Обзор современных микроконтроллеров и их архитектур
Обзор современных микроконтроллеров и их архитектурОбзор современных микроконтроллеров и их архитектур
Обзор современных микроконтроллеров и их архитектурIoT Community
 
Лекция 3. Векторизация кода (Code vectorization: SSE, AVX)
Лекция 3. Векторизация кода (Code vectorization: SSE, AVX)Лекция 3. Векторизация кода (Code vectorization: SSE, AVX)
Лекция 3. Векторизация кода (Code vectorization: SSE, AVX)Mikhail Kurnosov
 
Обновление продуктовой линейки Juniper Networks. Маршрутизация. Коммутация. Б...
Обновление продуктовой линейки Juniper Networks. Маршрутизация. Коммутация. Б...Обновление продуктовой линейки Juniper Networks. Маршрутизация. Коммутация. Б...
Обновление продуктовой линейки Juniper Networks. Маршрутизация. Коммутация. Б...TERMILAB. Интернет - лаборатория
 
Лекция 4. Векторизация кода (Code vectorization: SSE, AVX)
Лекция 4. Векторизация кода (Code vectorization: SSE, AVX)Лекция 4. Векторизация кода (Code vectorization: SSE, AVX)
Лекция 4. Векторизация кода (Code vectorization: SSE, AVX)Mikhail Kurnosov
 
Архитектура и уникальные особенности магистральной платформы Cisco NCS 6000
Архитектура и уникальные особенности магистральной платформы Cisco NCS 6000Архитектура и уникальные особенности магистральной платформы Cisco NCS 6000
Архитектура и уникальные особенности магистральной платформы Cisco NCS 6000Cisco Russia
 
Контроллеры инфраструктуры. Докладчик: Богдан Вакулюк — ИМАГ.
Контроллеры инфраструктуры. Докладчик: Богдан Вакулюк — ИМАГ.Контроллеры инфраструктуры. Докладчик: Богдан Вакулюк — ИМАГ.
Контроллеры инфраструктуры. Докладчик: Богдан Вакулюк — ИМАГ.TrueConf
 
16 процессоры и память эвм лекция
16 процессоры и память эвм лекция16 процессоры и память эвм лекция
16 процессоры и память эвм лекцияSergey Lomakin
 
Сетевое оборудование Cisco в индустриальном исполнении
Сетевое оборудование Cisco в индустриальном исполненииСетевое оборудование Cisco в индустриальном исполнении
Сетевое оборудование Cisco в индустриальном исполненииCisco Russia
 
Возможности ИТ-инфраструктуры. Новейшие серверные решения от Fujitsu и как он...
Возможности ИТ-инфраструктуры. Новейшие серверные решения от Fujitsu и как он...Возможности ИТ-инфраструктуры. Новейшие серверные решения от Fujitsu и как он...
Возможности ИТ-инфраструктуры. Новейшие серверные решения от Fujitsu и как он...Fujitsu Russia
 
Виртуализация сетевой инфраструктуры на примере использования IOS-XRv 9000 и ...
Виртуализация сетевой инфраструктуры на примере использования IOS-XRv 9000 и ...Виртуализация сетевой инфраструктуры на примере использования IOS-XRv 9000 и ...
Виртуализация сетевой инфраструктуры на примере использования IOS-XRv 9000 и ...Cisco Russia
 
Виртуалтрединг
ВиртуалтредингВиртуалтрединг
ВиртуалтредингCEE-SEC(R)
 
Конвергентная транспортная инфраструктура Обзор платформы Cisco NCS 4000
Конвергентная транспортная инфраструктура Обзор платформы Cisco NCS 4000Конвергентная транспортная инфраструктура Обзор платформы Cisco NCS 4000
Конвергентная транспортная инфраструктура Обзор платформы Cisco NCS 4000Cisco Russia
 
Рекомендованные Cisco архитектуры для различных вертикалей
Рекомендованные Cisco архитектуры для различных вертикалейРекомендованные Cisco архитектуры для различных вертикалей
Рекомендованные Cisco архитектуры для различных вертикалейCisco Russia
 
навигатор новые решения в новых условиях
навигатор новые решения в новых условияхнавигатор новые решения в новых условиях
навигатор новые решения в новых условияхNick Turunov
 

Similar to HighLoad++ 2018 Высоконагруженная распределенная система управления современной АЭС (20)

TMPA-2013 Smirnov
TMPA-2013 SmirnovTMPA-2013 Smirnov
TMPA-2013 Smirnov
 
Стек протоколов для IoT. Пример использования SNMP
Стек протоколов для IoT. Пример использования SNMPСтек протоколов для IoT. Пример использования SNMP
Стек протоколов для IoT. Пример использования SNMP
 
Смарт - Технологии, Взгляд Со Стороны Разработчика
Смарт - Технологии, Взгляд Со Стороны РазработчикаСмарт - Технологии, Взгляд Со Стороны Разработчика
Смарт - Технологии, Взгляд Со Стороны Разработчика
 
IoT Protocols #iotconfua
IoT Protocols #iotconfuaIoT Protocols #iotconfua
IoT Protocols #iotconfua
 
IoT Conf UA 2016
IoT Conf UA 2016IoT Conf UA 2016
IoT Conf UA 2016
 
Подробный технический обзор коммутаторов Cisco ME3800X/3600X
Подробный технический обзор коммутаторов Cisco ME3800X/3600XПодробный технический обзор коммутаторов Cisco ME3800X/3600X
Подробный технический обзор коммутаторов Cisco ME3800X/3600X
 
Обзор современных микроконтроллеров и их архитектур
Обзор современных микроконтроллеров и их архитектурОбзор современных микроконтроллеров и их архитектур
Обзор современных микроконтроллеров и их архитектур
 
Лекция 3. Векторизация кода (Code vectorization: SSE, AVX)
Лекция 3. Векторизация кода (Code vectorization: SSE, AVX)Лекция 3. Векторизация кода (Code vectorization: SSE, AVX)
Лекция 3. Векторизация кода (Code vectorization: SSE, AVX)
 
Обновление продуктовой линейки Juniper Networks. Маршрутизация. Коммутация. Б...
Обновление продуктовой линейки Juniper Networks. Маршрутизация. Коммутация. Б...Обновление продуктовой линейки Juniper Networks. Маршрутизация. Коммутация. Б...
Обновление продуктовой линейки Juniper Networks. Маршрутизация. Коммутация. Б...
 
Лекция 4. Векторизация кода (Code vectorization: SSE, AVX)
Лекция 4. Векторизация кода (Code vectorization: SSE, AVX)Лекция 4. Векторизация кода (Code vectorization: SSE, AVX)
Лекция 4. Векторизация кода (Code vectorization: SSE, AVX)
 
Архитектура и уникальные особенности магистральной платформы Cisco NCS 6000
Архитектура и уникальные особенности магистральной платформы Cisco NCS 6000Архитектура и уникальные особенности магистральной платформы Cisco NCS 6000
Архитектура и уникальные особенности магистральной платформы Cisco NCS 6000
 
Контроллеры инфраструктуры. Докладчик: Богдан Вакулюк — ИМАГ.
Контроллеры инфраструктуры. Докладчик: Богдан Вакулюк — ИМАГ.Контроллеры инфраструктуры. Докладчик: Богдан Вакулюк — ИМАГ.
Контроллеры инфраструктуры. Докладчик: Богдан Вакулюк — ИМАГ.
 
16 процессоры и память эвм лекция
16 процессоры и память эвм лекция16 процессоры и память эвм лекция
16 процессоры и память эвм лекция
 
Сетевое оборудование Cisco в индустриальном исполнении
Сетевое оборудование Cisco в индустриальном исполненииСетевое оборудование Cisco в индустриальном исполнении
Сетевое оборудование Cisco в индустриальном исполнении
 
Возможности ИТ-инфраструктуры. Новейшие серверные решения от Fujitsu и как он...
Возможности ИТ-инфраструктуры. Новейшие серверные решения от Fujitsu и как он...Возможности ИТ-инфраструктуры. Новейшие серверные решения от Fujitsu и как он...
Возможности ИТ-инфраструктуры. Новейшие серверные решения от Fujitsu и как он...
 
Виртуализация сетевой инфраструктуры на примере использования IOS-XRv 9000 и ...
Виртуализация сетевой инфраструктуры на примере использования IOS-XRv 9000 и ...Виртуализация сетевой инфраструктуры на примере использования IOS-XRv 9000 и ...
Виртуализация сетевой инфраструктуры на примере использования IOS-XRv 9000 и ...
 
Виртуалтрединг
ВиртуалтредингВиртуалтрединг
Виртуалтрединг
 
Конвергентная транспортная инфраструктура Обзор платформы Cisco NCS 4000
Конвергентная транспортная инфраструктура Обзор платформы Cisco NCS 4000Конвергентная транспортная инфраструктура Обзор платформы Cisco NCS 4000
Конвергентная транспортная инфраструктура Обзор платформы Cisco NCS 4000
 
Рекомендованные Cisco архитектуры для различных вертикалей
Рекомендованные Cisco архитектуры для различных вертикалейРекомендованные Cisco архитектуры для различных вертикалей
Рекомендованные Cisco архитектуры для различных вертикалей
 
навигатор новые решения в новых условиях
навигатор новые решения в новых условияхнавигатор новые решения в новых условиях
навигатор новые решения в новых условиях
 

HighLoad++ 2018 Высоконагруженная распределенная система управления современной АЭС

  • 1. Высоконагруженная распределенная система управления современной АЭС Вадим Подольный, 2018
  • 2. FACTOR-TC UI CONCEPT АСУ ТП, IIoT, ПЛАТФОРМЫ Физприбор — предприятие полного цикла Моделирование СУУ ТП СВУ АСУ ТП РСУ Шкафы РСУ ДСЗ Контроллеры Устройства IIoT, IoT КибербезопасностьАСУТП Верификация&Валидация Вводвэксплуатацию Модернизация Сопровождение Проектирование
  • 3. FACTOR-TC UI CONCEPT АСУ ТП, IIoT, КОНТРОЛЛЕРЫ Intel Atom E3845 Freescale CoreIQ P1022 Multicore 02, Elise Xilinx Spartan Эльбрус 1С+ Байкал Т1 ARM 7
  • 4. FACTOR-TC UI CONCEPT АСУ ТП, IIoT, КОНТРОЛЛЕРЫ - НПЛ Моделирование ТП Проектирование алгоритма Код на языке Си и др. Языки МЭК IEC61131-3 Модули жесткой логики Проектирование схемы PDM, PLM, выбор ЭКБ Производство Тестирование на стенде
  • 5. FACTOR-TC UI CONCEPT АСУ ТП, IIoT, КБ КИИ Резервирование Изоляция Технолог. разнообразие (Intel, Power, ARM, НПЛ) Доверенное производство Сертификация и аттестация (нормы АЭ) Конкурентоспособность экспорта
  • 6. FACTOR-TC UI CONCEPT Технологическое разнообразие Intel Intel Intel Intel Intel Intel Intel Intel AMD Power ARM Elbrus FPGA HardLogic VS 6
  • 7. FACTOR-TC UI CONCEPT Количественное разнообразие и избыточность 7
  • 8. 8 FACTOR-TC UI CONCEPT Алгоритм диверсной защиты
  • 9. FACTOR-TC UI CONCEPT Контроллеры. Дизайн и Реальное изделие 9
  • 10. FACTOR-TC UI CONCEPT СВУ АСУ ТП, РСУ, IIoT. ПП - Вершок 10
  • 11. WhereShock. Типы узлов Data Archive Logic Core API UI API HMI RT Node Archive operational Orchestrator RT Node Client HMI Project Editor RTN AOP ORC RNC HMI PED MetaData DB Archive emergency Reports generator Agent access Report Editor MTD AEM RGN AAC RED Real Time DB Archive long term Calculation core Driver connection HMI Editor RTD ALT ADT DCN HED 11
  • 12. WhereShock. Ядро - CoreShock Контроллеры Шлюзы OPC Агрегатор OPC Сервер Core API Cloud / Cluster UI API Команды Тревоги, квитирование RTN RTN RTN RTN RTN RTN RTN RTN RTD RTD RTD RTD RTD RTD RTD RTD MTD MTD MTD MTD MTD MTD MTD MTD ORC ORC AOP AOP DCN DCN AAC AAC ALT ALT CCR CCR RGN RGNRNC RNC RNC RNC ALT ALT CCR RNC RNC RNC RNC AEM HMI PED HED HED 12
  • 13. FACTOR-TC UI CONCEPTВершок & Корешок (из презентации на En) Top [Russian] – “WhereShock” Top level System Little Root [Russian] – “CoreShock” like a micro-service 13
  • 14. FACTOR-TC UI CONCEPTКластаер РСУ, Edge, Fog Cloud / ClusterCloud / Cluster RTN RTN RTN RTN RTN RTN … … … … … … Cloud / ClusterFog / Edge Nodes Fog Node Fog Node Fog Node Edge Node Edge Node Edge Node RNC RNC RNC RNC RNC RNC 14
  • 15. FACTOR-TC UI CONCEPTКластер РСУ, Edge, Fog Cloud / ClusterCloud / Cluster ALT ALT RGN RGN CCR CCR … … 01 01 CCR CCR 02 02 M N Cloud / ClusterFog / Edge Nodes Fog Node Fog Node Fog Node Edge Node Edge Node Edge Node CCR CCR Задачи Запрос Запрос 15
  • 16. WhereShock. Сопряжение систем Cloud / ClusterCloud / Cluster RTN RTN RTN RTN RTN RTN … … … … … … … … 01 01 02 02 M N RNC AEM Cloud / ClusterСистемы Physical security Fire safety I&C / IIoT IT Infrastructure SIEM SOC / UEBA RNC RNC RNC RNC RNC RNC Команды Тревоги, Квитирование 16
  • 17. FACTOR-TC UI CONCEPTWhereShock. Типы узлов Высокопроизводительный, низколатентный кластер. Туманные узлы - Supermicro Miroblade 6U - 28 Blades x 2 Xeon E5 v4 2630 - 10 / 40 / 100 GbE - Активное охлаждение - Физприбор 6U (Eurocard IEEE 1101.2) - 20 Blades x 2 Atom E3845 - 1GbE - Пассивное охлаждение 17 Сверхнадежный кластер, с пасивным охлаждением. Граничные узлы
  • 18. FACTOR-TC UI CONCEPTWhereShock, CoreShock. Некоторые свойства Программный интерконннект реального времени Архитектура Multi-Master, Mult-Tenant Избыточность (Redundancy) Диверсность (Diversity) Кросс-платформенность (W, L, M, A, I, B) Открытая архитектура, ППИ Secure by design 18
  • 19. FACTOR-TC UI CONCEPT RTN = 1 x Atom E3845 1GB / 1GbE RTN = 1 x Atom E3845 1GB / 1GbE 0,8M / Sec Redundancy Sync 0,6M / Sec Redundancy Sync 4 8 x x = = RTN = 2 x Xeon E5 2630 / v4 64GB / 10GbE RTN = 2 x Xeon E5 2630 / v4 64GB / 10GbE 7M / Sec Redundancy Sync 5M / Sec Redundancy Sync 4 8 x x = = 19 WhereShock, CoreShock. Некоторые показатели
  • 23. FACTOR-TC UI CONCEPT Стенд на предприятии
  • 24. FACTOR-TC UI CONCEPTКонфигуратор. БДРВ и Метаданные
  • 25. FACTOR-TC UI CONCEPTКонфигуратор. Видеокадры привязка 23
  • 26. FACTOR-TC UI CONCEPT Конфигуратор. Система разграничения доступа
  • 27. FACTOR-TC UI CONCEPTПрогностика, Машинное обучение Моделирование технологических процессов (CFD) Машинное обучение, нейросети, нечеткая логика Прогнозная модель (Статистика, AI) Обратные связи АСУ ТП, РСУ Усовершенствованое управление (СУУ ТП, APC) Оптимизация надежности, безопасности и экономики 22
  • 29. FACTOR-TC UI CONCEPT Виртуальный ПУ. БЩУ - БПУ - ВПУ
  • 30. FACTOR-TC UI CONCEPT Спасибо за внимание Вадим Подольный +7(916) 530-46-56 vpp@fizpribor.ru vadim.podolniy@gmail.com