SlideShare a Scribd company logo
1 of 43
Chapter 5
The LC-3
5-2
Instruction Set Architecture
ISA = All of the programmer-visible components
and operations of the computer
• memory organization
 address space -- how may locations can be addressed?
 addressibility -- how many bits per location?
• register set
 how many? what size? how are they used?
• instruction set
 opcodes
 data types
 addressing modes
ISA provides all information needed for someone that wants to
write a program in machine language
(or translate from a high-level language to machine language).
5-3
LC-3 Overview: Memory and Registers
Memory
• address space: 216 locations (16-bit addresses)
• addressibility: 16 bits
Registers
• temporary storage, accessed in a single machine cycle
accessing memory generally takes longer than a single cycle
• eight general-purpose registers: R0 - R7
each 16 bits wide
how many bits to uniquely identify a register?
• other registers
not directly addressible, but used by (and affected by)
instructions
PC (program counter), condition codes
5-4
LC-3 Overview: Instruction Set
Opcodes
• 16 opcodes
• Operate instructions: ADD, AND, NOT
• Data movement instructions: LD, LDI, LDR, LEA, ST, STR, STI
• Control instructions: BR, JSR, JSRR, RET, RTI, TRAP
• some opcodes set/clear condition codes, based on result:
N = negative, Z = zero, P = positive (> 0)
Data Types
• 16-bit 2’s complement integer
Addressing Modes
• How is the location of an operand specified?
• non-memory addresses: immediate, register
• memory addresses: direct, indirect, base+offset
5-5
Operate Instructions
Only three operations: ADD, AND, NOT
Source and destination operands are registers
• These instructions do not reference memory.
• ADD and AND can use “immediate” mode,
where one operand is hard-wired into the instruction.
Will show dataflow diagram with each instruction.
• illustrates when and where data moves
to accomplish the desired operation
5-6
NOT (Register)
Note: Src and Dst
could be the same register.
5-7
ADD/AND (Register)
this zero means “register mode”
5-8
ADD/AND (Immediate)
this one means “immediate mode”
Note: Immediate field is
sign-extended.
5-9
Using Operate Instructions
With only ADD, AND, NOT…
• How do we subtract?
• How do we OR?
• How do we copy from one register to another?
• How do we initialize a register to zero?
5-10
Data Movement Instructions
Load -- read data from memory to register
• LD: direct mode
• LDR: base+offset mode
• LDI: indirect mode
Store -- write data from register to memory
• ST: direct mode
• STR: base+offset mode
• STI: indirect mode
Load effective address -- compute address,
save in register
• LEA: immediate mode
• does not access memory
5-11
Direct Addressing Mode
Want to specify address directly in the instruction
• But an address is 16 bits, and so is an instruction!
• After subtracting 4 bits for opcode
and 3 bits for register, we have 9 bits available for address.
Solution:
• Upper 7 bits of address are specified (implicitly) by the PC.
Think of memory as collection of 512-word pages.
• Upper 7 bits identify which page – the page number.
• Lower 9 bits identify which word within the page – the page offset.
5-12
Memory Pages
Page x00
Page x01
Page x02
Page x1D
Page x1E
Page x7F
x0000
x0200
x0400
x3A00
x3C00
xFE00
512 words
x3B24
0011101100100100
Page number (7 bits): x1D
Page offset (9 bits): x124
Direct mode addressing
gets page number from PC[15:9]
and page offset from IR[8:0].
5-13
Practice
What is the page number and page offset
for each of these addresses?
Address Page Number Page Offset
x3102
x3002
x4321
xF3FE
5-14
LD (Direct)
5-15
ST (Direct)
5-16
Base + Offset Addressing Mode
With direct mode, can only address words
on the same memory page as the instruction.
• What about the rest of memory?
Solution:
• Use a register to generate a full 16-bit address.
4 bits for opcode, 3 for src/dest register,
3 bits for base register -- remaining 6 bits are used
as an unsigned offset.
• Offset is zero-extended before adding to base register.
5-17
LDR (Base+Offset)
Note: Offset field is
zero-extended.
5-18
STR (Base+Offset)
5-19
Indirect Addressing Mode
Another way to have a full 16-bit address:
• Read address from memory location,
then load/store to that address.
First address is generated from PC and IR
(just like direct addressing), then
content of that address is used as target for load/store.
• Advantage: Doesn't consume a register for base address.
• Disadvantage: Extra memory operation (and no offset).
5-20
LDI (Indirect)
5-21
STI (Indirect)
5-22
Load Effective Address
Concatenates current page number (PC[15:9])
with page offset (IR[8:0]),
and stores the result into a register.
Note: The address is stored in the register,
not the contents of the memory location.
5-23
LEA (Immediate)
5-24
Example
Address Instruction Comments
x30F6 1 1 1 0 0 0 1 0 1 1 1 1 0 1 0 0 R1  x30F4
x30F7 0 0 0 1 0 1 0 0 0 1 1 0 1 1 1 0 R2  R1 + 14 = x3102
x30F8 0 0 1 1 0 1 0 0 1 1 1 1 0 1 0 0 M[x30F4]  R2
x30F9 0 1 0 1 0 1 0 0 1 0 1 0 0 0 0 0 R2  0
x30FA 0 0 0 1 0 1 0 0 1 0 1 0 0 1 0 1 R2  R2 + 5 = 5
x30FB 0 1 1 1 0 1 0 0 0 1 0 0 1 1 1 0 M[R1+14]  R2
M[x3102]  5
x30FC 1 0 1 0 0 1 1 0 1 1 1 1 0 1 0 0
R3  M[M[x30F4]]
R3  M[x3102]
R3  5
opcode
5-25
Control Instructions
Used to alter the sequence of instructions
(by changing the Program Counter)
Conditional Branch
• branch is taken if a specified condition is true
offset is concatenated with upper bits of PC to yield new PC
• else, the branch is not taken
PC is not changed, points to the next sequential instruction
Unconditional Branch (or Jump)
• always changes the PC
TRAP
• changes PC to the first instruction in an OS “service routine”
• when routine is done, will execute next instruction
5-26
Condition Codes
LC-3 has three condition code registers:
N -- negative
Z -- zero
P -- positive (greater than zero)
Set by any instruction that stores a value to a register
(ADD, AND, NOT, LD, LDR, LDI, LEA)
Exactly one will be set at all times
• Based on the last instruction that altered a register
5-27
Branch Instruction
Branch specifies one or more condition codes
If the set bit is specified, the branch is taken
• PC is set to the address specified in the instruction
• Like direct mode addressing,
target address is made by concatenating
current page number (PC[15:9]) with offset (IR[8:0])
• Note: Target must be on same page as BR instruction.
If the branch is not taken,
the next sequential instruction (PC+1) is executed.
5-28
BR
What happens if bits [11:9] are all zero? All one?
5-29
Using Branch Instructions
Compute sum of 12 integers.
Numbers start at location x3100. Program starts at location x3000.
R1  x3100
R3  0
R2  12
R2=0?
R4  M[R1]
R3  R3+R4
R1  R1+1
R2  R2-1
NO
YES
5-30
Sample Program
Address Instruction Comments
x3000 1 1 1 0 0 0 1 1 0 0 0 0 0 0 0 0 R1  x3100
x3001 0 1 0 1 0 1 1 0 1 1 1 0 0 0 0 0 R3  0
x3002 0 1 0 1 0 1 0 0 1 0 1 0 0 0 0 0 R2  0
x3003 0 0 0 1 0 1 0 0 1 1 1 0 1 1 0 0 R2  12
x3004 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 If Z, goto x3009
x3005 0 1 1 0 1 0 0 0 0 1 0 0 0 0 0 0 Load next value to R4
x3006 0 0 0 1 0 1 1 0 1 1 0 0 0 0 0 1 Add to R3
x3007 0 0 0 1 0 0 1 0 0 1 1 0 0 0 0 1 Increment R1 (pointer)
X3008 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 1 Decrement R2 (counter)
x3009 0 0 0 0 1 1 1 0 0 0 0 0 0 1 0 0 Goto x3004
5-31
Jump Instructions
Jump is an unconditional branch -- always taken.
Direct
• Concatenate page number (PC[15:9]) and offset (IR[8:0]).
• Works if target is on same page.
Base + Offset
• Address is register plus unsigned offset (IR[5:0]).
• Allows any target address.
Link bit converts JMP to JSR (Jump to Subroutine).
Will discuss later.
5-32
JMP (Direct)
5-33
JMPR (Base + Offset)
5-34
TRAP
Calls a service routine, identified by 8-bit “trap vector.”
When routine is done,
PC is set to the instruction following TRAP.
(We’ll talk about how this works later.)
vector routine
x23 input a character from the keyboard
x21 output a character to the monitor
x25 halt the program
5-35
Another Example
Count the occurrences of a character in a file
• Program begins at location x3000
• Read character from keyboard
• Load each character from a “file”
 File is a sequence of memory locations
 Starting address of file is stored in the memory location
immediately after the program
• If file character equals input character, increment counter
• End of file is indicated by a special ASCII value: EOT (x04)
• At the end, print the number of characters and halt
(assume there will be less than 10 occurrences of the character)
A special character used to indicate the end of a sequence
is often called a sentinel.
• Useful when you don’t know ahead of time how many times
to execute a loop.
5-36
Flow Chart
Count = 0
(R2 = 0)
Ptr = 1st file character
(R3 = M[x3012])
Input char
from keybd
(TRAP x23)
Done?
(R1 ?= EOT)
Load char from file
(R1 = M[R3])
Match?
(R1 ?= R0)
Incr Count
(R2 = R2 + 1)
Load next char from file
(R3 = R3 + 1, R1 = M[R3])
Convert count to
ASCII character
(R0 = x30, R0 = R2 + R0)
Print count
(TRAP x21)
HALT
(TRAP x25)
NO
NO
YES
YES
5-37
Program (1 of 2)
Address Instruction Comments
x3000 0 1 0 1 0 1 0 0 1 0 1 0 0 0 0 0 R2  0 (counter)
x3001 0 0 1 0 0 1 1 0 0 0 0 1 0 0 1 0 R3  M[x3102] (ptr)
x3002 1 1 1 1 0 0 0 0 0 0 1 0 0 0 1 1 Input to R0 (TRAP x23)
x3003 0 1 1 0 0 0 1 0 1 1 0 0 0 0 0 0 R1  M[R3]
x3004 0 0 0 1 1 0 0 0 0 1 1 1 1 1 0 0 R4  R1 – 4 (EOT)
x3005 0 0 0 0 0 1 0 0 0 0 0 0 1 1 1 0 If Z, goto x300E
x3006 1 0 0 1 0 0 1 0 0 1 1 1 1 1 1 1 R1  NOT R1
x3007 0 0 0 1 0 0 1 0 0 1 1 0 0 0 0 1 R1  R1 + 1
X3008 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 0 R1  R1 + R0
x3009 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 If N or P, goto x300B
5-38
Program (2 of 2)
Address Instruction Comments
x300A 0 0 0 1 0 1 0 0 1 0 1 0 0 0 0 1 R2  R2 + 1
x300B 0 0 0 1 0 1 1 0 1 1 1 0 0 0 0 1 R3  R3 + 1
x300C 0 1 1 0 0 0 1 0 1 1 0 0 0 0 0 0 R1  M[R3]
x300D 0 0 0 0 1 1 1 0 0 0 0 0 0 1 0 0 Goto x3004
x300E 0 0 1 0 0 0 0 0 0 0 0 1 0 0 1 1 R0  M[x3013]
x300F 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 R0  R0 + R2
x3010 1 1 1 1 0 0 0 0 0 0 1 0 0 0 0 1 Print R0 (TRAP x21)
x3011 1 1 1 1 0 0 0 0 0 0 1 0 0 1 0 1 HALT (TRAP x25)
X3012 Starting Address of File
x3013 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 ASCII x30 (‘0’)
5-39
LC-3
Data Path
Revisited
Filled arrow
= info to be processed.
Unfilled arrow
= control signal.
5-40
Data Path Components
Global bus
• special set of wires that carry a 16-bit signal to many
components
• inputs to the bus are “tri-state devices,”
that only place a signal on the bus when they are enabled
• only one (16-bit) signal should be enabled at any time
control unit decides which signal “drives” the bus
• any number of components can read the bus
register only captures bus data if it is write-enabled by the
control unit
Memory and I/O
• Control and data registers for memory and I/O devices
• memory: MAR, MDR (also control signal for read/write)
• input (keyboard): KBSR, KBDR
• output (monitor): CRTSR, CRTDR
5-41
Data Path Components
ALU
• Accepts inputs from register file
and from sign-extended bits from IR (immediate field).
• Output goes to bus.
used by condition code logic, register file, memory and I/O
registers
Register File
• Two read addresses, one write address
• Input from bus
result of ALU operation or memory (or I/O) read
• Two 16-bit outputs
used by ALU, PC, memory address
data for store instructions passes through ALU
5-42
Data Path Components
PC and PCMUX
• Four inputs to PC, controlled by PCMUX
1. current PC plus 1 -- normal operation
2. PC[15:9] and IR[8:0] -- BR instruction (and JSR, discussed
later)
3. register file -- RET instruction (discussed later)
4. bus -- TRAP, JSRR instructions (discussed later)
MAR and MARMUX
• Three inputs to MAR, controlled by MARMUX
1. PC[15:9] and IR[8:0] -- direct addressing mode
2. Register File plus zero-extended offset -- base+offset mode
3. Zero-extended IR[7:0] -- TRAP instruction (discussed later)
5-43
Data Path Components
Condition Code Logic
• Looks at value on bus and generates N, Z, P signals
• Registers set only when control unit enables them
only certain instructions set the codes
(anything that loads a value into a register:
ADD, AND, NOT, LD, LDI, LDR, LEA)
Control Unit
• Decodes instruction (in IR)
• On each machine cycle, changes control signals for next phase
of instruction processing
who drives the bus?
which registers are write enabled?
which operation should ALU perform?
…

More Related Content

Similar to PattPatelCh05.ppt

Computer organiztion5
Computer organiztion5Computer organiztion5
Computer organiztion5
Umang Gupta
 
LECTURE2 td 2 sue les theories de graphes
LECTURE2 td 2 sue les theories de graphesLECTURE2 td 2 sue les theories de graphes
LECTURE2 td 2 sue les theories de graphes
AhmedMahjoub15
 

Similar to PattPatelCh05.ppt (20)

Introduction of 8086 micro processor .
Introduction of 8086 micro processor .Introduction of 8086 micro processor .
Introduction of 8086 micro processor .
 
Computer Organization and Architecture.
Computer Organization and Architecture.Computer Organization and Architecture.
Computer Organization and Architecture.
 
Instruction codes and computer registers
Instruction codes and computer registersInstruction codes and computer registers
Instruction codes and computer registers
 
Chp 2 and 3.pptx
Chp 2 and 3.pptxChp 2 and 3.pptx
Chp 2 and 3.pptx
 
BasicComputerOrganization and Architecture by Moriss Meno
BasicComputerOrganization and Architecture by Moriss MenoBasicComputerOrganization and Architecture by Moriss Meno
BasicComputerOrganization and Architecture by Moriss Meno
 
PROCESSOR AND CONTROL UNIT
PROCESSOR AND CONTROL UNITPROCESSOR AND CONTROL UNIT
PROCESSOR AND CONTROL UNIT
 
N_Asm Assembly registers (sol)
N_Asm Assembly registers (sol)N_Asm Assembly registers (sol)
N_Asm Assembly registers (sol)
 
Computer organiztion5
Computer organiztion5Computer organiztion5
Computer organiztion5
 
Ch5 official.ppt
Ch5 official.pptCh5 official.ppt
Ch5 official.ppt
 
Unit. 3 coa.ppt
Unit. 3 coa.pptUnit. 3 coa.ppt
Unit. 3 coa.ppt
 
Ca basic computer organization
Ca basic computer organizationCa basic computer organization
Ca basic computer organization
 
arm-instructionset.pdf
arm-instructionset.pdfarm-instructionset.pdf
arm-instructionset.pdf
 
arm-instructionset.pdf
arm-instructionset.pdfarm-instructionset.pdf
arm-instructionset.pdf
 
UNIT-3.pptx
UNIT-3.pptxUNIT-3.pptx
UNIT-3.pptx
 
ARM Introduction
ARM IntroductionARM Introduction
ARM Introduction
 
LECTURE2 td 2 sue les theories de graphes
LECTURE2 td 2 sue les theories de graphesLECTURE2 td 2 sue les theories de graphes
LECTURE2 td 2 sue les theories de graphes
 
Lecture9
Lecture9Lecture9
Lecture9
 
Intel® 80386 microprocessor registers
Intel® 80386 microprocessor registersIntel® 80386 microprocessor registers
Intel® 80386 microprocessor registers
 
material for studentbasic computer organization and design .pptx
material for studentbasic computer organization and design .pptxmaterial for studentbasic computer organization and design .pptx
material for studentbasic computer organization and design .pptx
 
arm-intro.ppt
arm-intro.pptarm-intro.ppt
arm-intro.ppt
 

Recently uploaded

DeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakesDeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakes
MayuraD1
 
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
ssuser89054b
 
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments""Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
mphochane1998
 
Verification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptxVerification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptx
chumtiyababu
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
Neometrix_Engineering_Pvt_Ltd
 

Recently uploaded (20)

Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS Lambda
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdf
 
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
 
DeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakesDeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakes
 
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equation
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdf
 
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptxOrlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
 
Computer Networks Basics of Network Devices
Computer Networks  Basics of Network DevicesComputer Networks  Basics of Network Devices
Computer Networks Basics of Network Devices
 
Online electricity billing project report..pdf
Online electricity billing project report..pdfOnline electricity billing project report..pdf
Online electricity billing project report..pdf
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the start
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments""Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
 
Verification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptxVerification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptx
 
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
 
Moment Distribution Method For Btech Civil
Moment Distribution Method For Btech CivilMoment Distribution Method For Btech Civil
Moment Distribution Method For Btech Civil
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKARHAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
 

PattPatelCh05.ppt

  • 2. 5-2 Instruction Set Architecture ISA = All of the programmer-visible components and operations of the computer • memory organization  address space -- how may locations can be addressed?  addressibility -- how many bits per location? • register set  how many? what size? how are they used? • instruction set  opcodes  data types  addressing modes ISA provides all information needed for someone that wants to write a program in machine language (or translate from a high-level language to machine language).
  • 3. 5-3 LC-3 Overview: Memory and Registers Memory • address space: 216 locations (16-bit addresses) • addressibility: 16 bits Registers • temporary storage, accessed in a single machine cycle accessing memory generally takes longer than a single cycle • eight general-purpose registers: R0 - R7 each 16 bits wide how many bits to uniquely identify a register? • other registers not directly addressible, but used by (and affected by) instructions PC (program counter), condition codes
  • 4. 5-4 LC-3 Overview: Instruction Set Opcodes • 16 opcodes • Operate instructions: ADD, AND, NOT • Data movement instructions: LD, LDI, LDR, LEA, ST, STR, STI • Control instructions: BR, JSR, JSRR, RET, RTI, TRAP • some opcodes set/clear condition codes, based on result: N = negative, Z = zero, P = positive (> 0) Data Types • 16-bit 2’s complement integer Addressing Modes • How is the location of an operand specified? • non-memory addresses: immediate, register • memory addresses: direct, indirect, base+offset
  • 5. 5-5 Operate Instructions Only three operations: ADD, AND, NOT Source and destination operands are registers • These instructions do not reference memory. • ADD and AND can use “immediate” mode, where one operand is hard-wired into the instruction. Will show dataflow diagram with each instruction. • illustrates when and where data moves to accomplish the desired operation
  • 6. 5-6 NOT (Register) Note: Src and Dst could be the same register.
  • 7. 5-7 ADD/AND (Register) this zero means “register mode”
  • 8. 5-8 ADD/AND (Immediate) this one means “immediate mode” Note: Immediate field is sign-extended.
  • 9. 5-9 Using Operate Instructions With only ADD, AND, NOT… • How do we subtract? • How do we OR? • How do we copy from one register to another? • How do we initialize a register to zero?
  • 10. 5-10 Data Movement Instructions Load -- read data from memory to register • LD: direct mode • LDR: base+offset mode • LDI: indirect mode Store -- write data from register to memory • ST: direct mode • STR: base+offset mode • STI: indirect mode Load effective address -- compute address, save in register • LEA: immediate mode • does not access memory
  • 11. 5-11 Direct Addressing Mode Want to specify address directly in the instruction • But an address is 16 bits, and so is an instruction! • After subtracting 4 bits for opcode and 3 bits for register, we have 9 bits available for address. Solution: • Upper 7 bits of address are specified (implicitly) by the PC. Think of memory as collection of 512-word pages. • Upper 7 bits identify which page – the page number. • Lower 9 bits identify which word within the page – the page offset.
  • 12. 5-12 Memory Pages Page x00 Page x01 Page x02 Page x1D Page x1E Page x7F x0000 x0200 x0400 x3A00 x3C00 xFE00 512 words x3B24 0011101100100100 Page number (7 bits): x1D Page offset (9 bits): x124 Direct mode addressing gets page number from PC[15:9] and page offset from IR[8:0].
  • 13. 5-13 Practice What is the page number and page offset for each of these addresses? Address Page Number Page Offset x3102 x3002 x4321 xF3FE
  • 16. 5-16 Base + Offset Addressing Mode With direct mode, can only address words on the same memory page as the instruction. • What about the rest of memory? Solution: • Use a register to generate a full 16-bit address. 4 bits for opcode, 3 for src/dest register, 3 bits for base register -- remaining 6 bits are used as an unsigned offset. • Offset is zero-extended before adding to base register.
  • 17. 5-17 LDR (Base+Offset) Note: Offset field is zero-extended.
  • 19. 5-19 Indirect Addressing Mode Another way to have a full 16-bit address: • Read address from memory location, then load/store to that address. First address is generated from PC and IR (just like direct addressing), then content of that address is used as target for load/store. • Advantage: Doesn't consume a register for base address. • Disadvantage: Extra memory operation (and no offset).
  • 22. 5-22 Load Effective Address Concatenates current page number (PC[15:9]) with page offset (IR[8:0]), and stores the result into a register. Note: The address is stored in the register, not the contents of the memory location.
  • 24. 5-24 Example Address Instruction Comments x30F6 1 1 1 0 0 0 1 0 1 1 1 1 0 1 0 0 R1  x30F4 x30F7 0 0 0 1 0 1 0 0 0 1 1 0 1 1 1 0 R2  R1 + 14 = x3102 x30F8 0 0 1 1 0 1 0 0 1 1 1 1 0 1 0 0 M[x30F4]  R2 x30F9 0 1 0 1 0 1 0 0 1 0 1 0 0 0 0 0 R2  0 x30FA 0 0 0 1 0 1 0 0 1 0 1 0 0 1 0 1 R2  R2 + 5 = 5 x30FB 0 1 1 1 0 1 0 0 0 1 0 0 1 1 1 0 M[R1+14]  R2 M[x3102]  5 x30FC 1 0 1 0 0 1 1 0 1 1 1 1 0 1 0 0 R3  M[M[x30F4]] R3  M[x3102] R3  5 opcode
  • 25. 5-25 Control Instructions Used to alter the sequence of instructions (by changing the Program Counter) Conditional Branch • branch is taken if a specified condition is true offset is concatenated with upper bits of PC to yield new PC • else, the branch is not taken PC is not changed, points to the next sequential instruction Unconditional Branch (or Jump) • always changes the PC TRAP • changes PC to the first instruction in an OS “service routine” • when routine is done, will execute next instruction
  • 26. 5-26 Condition Codes LC-3 has three condition code registers: N -- negative Z -- zero P -- positive (greater than zero) Set by any instruction that stores a value to a register (ADD, AND, NOT, LD, LDR, LDI, LEA) Exactly one will be set at all times • Based on the last instruction that altered a register
  • 27. 5-27 Branch Instruction Branch specifies one or more condition codes If the set bit is specified, the branch is taken • PC is set to the address specified in the instruction • Like direct mode addressing, target address is made by concatenating current page number (PC[15:9]) with offset (IR[8:0]) • Note: Target must be on same page as BR instruction. If the branch is not taken, the next sequential instruction (PC+1) is executed.
  • 28. 5-28 BR What happens if bits [11:9] are all zero? All one?
  • 29. 5-29 Using Branch Instructions Compute sum of 12 integers. Numbers start at location x3100. Program starts at location x3000. R1  x3100 R3  0 R2  12 R2=0? R4  M[R1] R3  R3+R4 R1  R1+1 R2  R2-1 NO YES
  • 30. 5-30 Sample Program Address Instruction Comments x3000 1 1 1 0 0 0 1 1 0 0 0 0 0 0 0 0 R1  x3100 x3001 0 1 0 1 0 1 1 0 1 1 1 0 0 0 0 0 R3  0 x3002 0 1 0 1 0 1 0 0 1 0 1 0 0 0 0 0 R2  0 x3003 0 0 0 1 0 1 0 0 1 1 1 0 1 1 0 0 R2  12 x3004 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 If Z, goto x3009 x3005 0 1 1 0 1 0 0 0 0 1 0 0 0 0 0 0 Load next value to R4 x3006 0 0 0 1 0 1 1 0 1 1 0 0 0 0 0 1 Add to R3 x3007 0 0 0 1 0 0 1 0 0 1 1 0 0 0 0 1 Increment R1 (pointer) X3008 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 1 Decrement R2 (counter) x3009 0 0 0 0 1 1 1 0 0 0 0 0 0 1 0 0 Goto x3004
  • 31. 5-31 Jump Instructions Jump is an unconditional branch -- always taken. Direct • Concatenate page number (PC[15:9]) and offset (IR[8:0]). • Works if target is on same page. Base + Offset • Address is register plus unsigned offset (IR[5:0]). • Allows any target address. Link bit converts JMP to JSR (Jump to Subroutine). Will discuss later.
  • 33. 5-33 JMPR (Base + Offset)
  • 34. 5-34 TRAP Calls a service routine, identified by 8-bit “trap vector.” When routine is done, PC is set to the instruction following TRAP. (We’ll talk about how this works later.) vector routine x23 input a character from the keyboard x21 output a character to the monitor x25 halt the program
  • 35. 5-35 Another Example Count the occurrences of a character in a file • Program begins at location x3000 • Read character from keyboard • Load each character from a “file”  File is a sequence of memory locations  Starting address of file is stored in the memory location immediately after the program • If file character equals input character, increment counter • End of file is indicated by a special ASCII value: EOT (x04) • At the end, print the number of characters and halt (assume there will be less than 10 occurrences of the character) A special character used to indicate the end of a sequence is often called a sentinel. • Useful when you don’t know ahead of time how many times to execute a loop.
  • 36. 5-36 Flow Chart Count = 0 (R2 = 0) Ptr = 1st file character (R3 = M[x3012]) Input char from keybd (TRAP x23) Done? (R1 ?= EOT) Load char from file (R1 = M[R3]) Match? (R1 ?= R0) Incr Count (R2 = R2 + 1) Load next char from file (R3 = R3 + 1, R1 = M[R3]) Convert count to ASCII character (R0 = x30, R0 = R2 + R0) Print count (TRAP x21) HALT (TRAP x25) NO NO YES YES
  • 37. 5-37 Program (1 of 2) Address Instruction Comments x3000 0 1 0 1 0 1 0 0 1 0 1 0 0 0 0 0 R2  0 (counter) x3001 0 0 1 0 0 1 1 0 0 0 0 1 0 0 1 0 R3  M[x3102] (ptr) x3002 1 1 1 1 0 0 0 0 0 0 1 0 0 0 1 1 Input to R0 (TRAP x23) x3003 0 1 1 0 0 0 1 0 1 1 0 0 0 0 0 0 R1  M[R3] x3004 0 0 0 1 1 0 0 0 0 1 1 1 1 1 0 0 R4  R1 – 4 (EOT) x3005 0 0 0 0 0 1 0 0 0 0 0 0 1 1 1 0 If Z, goto x300E x3006 1 0 0 1 0 0 1 0 0 1 1 1 1 1 1 1 R1  NOT R1 x3007 0 0 0 1 0 0 1 0 0 1 1 0 0 0 0 1 R1  R1 + 1 X3008 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 0 R1  R1 + R0 x3009 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 If N or P, goto x300B
  • 38. 5-38 Program (2 of 2) Address Instruction Comments x300A 0 0 0 1 0 1 0 0 1 0 1 0 0 0 0 1 R2  R2 + 1 x300B 0 0 0 1 0 1 1 0 1 1 1 0 0 0 0 1 R3  R3 + 1 x300C 0 1 1 0 0 0 1 0 1 1 0 0 0 0 0 0 R1  M[R3] x300D 0 0 0 0 1 1 1 0 0 0 0 0 0 1 0 0 Goto x3004 x300E 0 0 1 0 0 0 0 0 0 0 0 1 0 0 1 1 R0  M[x3013] x300F 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 R0  R0 + R2 x3010 1 1 1 1 0 0 0 0 0 0 1 0 0 0 0 1 Print R0 (TRAP x21) x3011 1 1 1 1 0 0 0 0 0 0 1 0 0 1 0 1 HALT (TRAP x25) X3012 Starting Address of File x3013 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 ASCII x30 (‘0’)
  • 39. 5-39 LC-3 Data Path Revisited Filled arrow = info to be processed. Unfilled arrow = control signal.
  • 40. 5-40 Data Path Components Global bus • special set of wires that carry a 16-bit signal to many components • inputs to the bus are “tri-state devices,” that only place a signal on the bus when they are enabled • only one (16-bit) signal should be enabled at any time control unit decides which signal “drives” the bus • any number of components can read the bus register only captures bus data if it is write-enabled by the control unit Memory and I/O • Control and data registers for memory and I/O devices • memory: MAR, MDR (also control signal for read/write) • input (keyboard): KBSR, KBDR • output (monitor): CRTSR, CRTDR
  • 41. 5-41 Data Path Components ALU • Accepts inputs from register file and from sign-extended bits from IR (immediate field). • Output goes to bus. used by condition code logic, register file, memory and I/O registers Register File • Two read addresses, one write address • Input from bus result of ALU operation or memory (or I/O) read • Two 16-bit outputs used by ALU, PC, memory address data for store instructions passes through ALU
  • 42. 5-42 Data Path Components PC and PCMUX • Four inputs to PC, controlled by PCMUX 1. current PC plus 1 -- normal operation 2. PC[15:9] and IR[8:0] -- BR instruction (and JSR, discussed later) 3. register file -- RET instruction (discussed later) 4. bus -- TRAP, JSRR instructions (discussed later) MAR and MARMUX • Three inputs to MAR, controlled by MARMUX 1. PC[15:9] and IR[8:0] -- direct addressing mode 2. Register File plus zero-extended offset -- base+offset mode 3. Zero-extended IR[7:0] -- TRAP instruction (discussed later)
  • 43. 5-43 Data Path Components Condition Code Logic • Looks at value on bus and generates N, Z, P signals • Registers set only when control unit enables them only certain instructions set the codes (anything that loads a value into a register: ADD, AND, NOT, LD, LDI, LDR, LEA) Control Unit • Decodes instruction (in IR) • On each machine cycle, changes control signals for next phase of instruction processing who drives the bus? which registers are write enabled? which operation should ALU perform? …