SlideShare a Scribd company logo
1 of 13
Adder comparisons and New
       (1,1,1)adder



       Peeyush Pashine
       2011H140033H
Brent Kung adder
Sklansky adder
(p8, g8)   (p7, g7)   (p6, g6)   (p5, g5)   (p4, g4)   (p3, g3)   (p2, g2) (p1, g1)




    c8         c7         c6         c5         c4         c3         c2        c1
Skalnsky adder 16 bit

   15 14 13 12 11 10 9                    8        7        6        5   4        3        2        1   0


15:1         13:1         11:1       9:       7:                5:           3:                1:
 4            2            0         8        6                 4            2                 0

15:1 14:1                  11: 10:            7:       6:                    3:       2:
 2    2                     8   8             4        4                     0        0

 15:   14:    13:   12:
  8     8      8     8




 15:014:013:0 12:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
Ladner fischer adder
   15 14 13 12 11 10             9   8         7   6         5   4         3   2         1   0


15:14    13:12    11:10    9:8           7:6           5:4           3:2           1:0


15:12              11:8                  7:4                         3:0


 15:8     13:8                           7:0           5:0


 15:8     13:0    11:0     9:0




  15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
Kogge stone adder
   15 14 13 12 11 10                           9         8         7         6         5         4         3         2         1   0


15:14 14:13 13:12 12:11 11:10 10:9       9:8       8:7       7:6       6:5       5:4       4:3       3:2       2:1       1:0


15:12 14:11 13:10     12:9   11:8 10:7   9:6       8:5       7:4       6:3       5:2       4:1       3:0       2:0



 15:8   14:7   13:6   12:5   11:4 10:3   9:2       8:1       7:0       6:0       5:0       4:0




  15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
Classical prefix adders
8     7     6     5     4     3     2     1    8      7     6     5     4     3     2     1   8      7    6     5     4     3     2     1




8:1   7:1   6:1   5:1   4:1   3:1   2:1   1    8:1    7:1   6:1   5:1   4:1   3:1   2:1   1   8:1   7:1   6:1   5:1   4:1   3:1   2:1   1

      Brent-Kung:                                    Sklansky:                                      Kogge-Stone:
      Logical levels: 2log2n–1                       Logical levels: log2n                          Logical levels: log2n
      Max fanouts: 2                                 Max fanouts: n/2                               Max fanouts: 2
      Wire tracks: 1                                 Wire tracks: 1                                 Wire tracks: n/2

                                                                                                                                            7
Knowles 2,1,1,1
Knowles 4,2,1,1
Topology of some prefix adders


       Brent-Kung topology
       (Minimum fan-out)




                             Knowles
                             topologies
                             (Varied fan-out
                             at each level )


                             Ladner-Fischer
                             topology
                             (Minimum depth, high
                             fanout)
Prefix adder taxonomy
New (1,1,1) Adder
12     11     10     9   8     7     6     5     4     3     2     1




12:1    11:1   10:1   9:1   8:1   7:1   6:1   5:1   4:1   3:1   2:1   1

More Related Content

What's hot

RF Module Design - [Chapter 5] Low Noise Amplifier
RF Module Design - [Chapter 5]  Low Noise AmplifierRF Module Design - [Chapter 5]  Low Noise Amplifier
RF Module Design - [Chapter 5] Low Noise AmplifierSimen Li
 
A SiGe BiCMOS E-Band Power Amplifier with 22% PAE at 18dBm OP1dB and 8.5% at ...
A SiGe BiCMOS E-Band Power Amplifier with 22% PAE at 18dBm OP1dB and 8.5% at ...A SiGe BiCMOS E-Band Power Amplifier with 22% PAE at 18dBm OP1dB and 8.5% at ...
A SiGe BiCMOS E-Band Power Amplifier with 22% PAE at 18dBm OP1dB and 8.5% at ...aiclab
 
Pr045 deep lab_semantic_segmentation
Pr045 deep lab_semantic_segmentationPr045 deep lab_semantic_segmentation
Pr045 deep lab_semantic_segmentationTaeoh Kim
 
Developing new zynq based instruments
Developing new zynq based instrumentsDeveloping new zynq based instruments
Developing new zynq based instrumentsGraham NAYLOR
 
Mosfet short channel effects
Mosfet short channel effectsMosfet short channel effects
Mosfet short channel effectskesana Bala Gopi
 
RF Circuit Design - [Ch2-2] Smith Chart
RF Circuit Design - [Ch2-2] Smith ChartRF Circuit Design - [Ch2-2] Smith Chart
RF Circuit Design - [Ch2-2] Smith ChartSimen Li
 
Design & implementation of high speed carry select adder
Design & implementation of high speed carry select adderDesign & implementation of high speed carry select adder
Design & implementation of high speed carry select adderssingh7603
 
トランジスタ コルピッツ型LC発振回路シミュレーション
トランジスタ コルピッツ型LC発振回路シミュレーショントランジスタ コルピッツ型LC発振回路シミュレーション
トランジスタ コルピッツ型LC発振回路シミュレーションTsuyoshi Horigome
 
Assembly language 8086 intermediate
Assembly language 8086 intermediateAssembly language 8086 intermediate
Assembly language 8086 intermediateJohn Cutajar
 
Voltage Controlled Oscillator Design - Short Course at NKFUST, 2013
Voltage Controlled Oscillator Design - Short Course at NKFUST, 2013Voltage Controlled Oscillator Design - Short Course at NKFUST, 2013
Voltage Controlled Oscillator Design - Short Course at NKFUST, 2013Simen Li
 
Negitive Feedback in Analog IC Design 02 April 2020
Negitive Feedback in Analog IC Design 02 April 2020  Negitive Feedback in Analog IC Design 02 April 2020
Negitive Feedback in Analog IC Design 02 April 2020 Javed G S, PhD
 
Bart : Denoising Sequence-to-Sequence Pre-training for Natural Language Gener...
Bart : Denoising Sequence-to-Sequence Pre-training for Natural Language Gener...Bart : Denoising Sequence-to-Sequence Pre-training for Natural Language Gener...
Bart : Denoising Sequence-to-Sequence Pre-training for Natural Language Gener...taeseon ryu
 
Ch8 lecture slides Chenming Hu Device for IC
Ch8 lecture slides Chenming Hu Device for ICCh8 lecture slides Chenming Hu Device for IC
Ch8 lecture slides Chenming Hu Device for ICChenming Hu
 
Efficient and effective passage search via contextualized late interaction ov...
Efficient and effective passage search via contextualized late interaction ov...Efficient and effective passage search via contextualized late interaction ov...
Efficient and effective passage search via contextualized late interaction ov...taeseon ryu
 
(VLSI DESIGN AND EMBEDDED SYSTEMS) technical seminar-2015
(VLSI DESIGN AND EMBEDDED SYSTEMS) technical seminar-2015(VLSI DESIGN AND EMBEDDED SYSTEMS) technical seminar-2015
(VLSI DESIGN AND EMBEDDED SYSTEMS) technical seminar-2015Suchitra goudar
 
Implementation of 4-bit R-2R DAC on CADENCE Tools
Implementation of 4-bit R-2R DAC on CADENCE ToolsImplementation of 4-bit R-2R DAC on CADENCE Tools
Implementation of 4-bit R-2R DAC on CADENCE Toolsjournal ijrtem
 
different logic full adders
different logic full addersdifferent logic full adders
different logic full addersGuna Sekhar
 

What's hot (20)

System Interconnects for HPC
System Interconnects for HPCSystem Interconnects for HPC
System Interconnects for HPC
 
RF Module Design - [Chapter 5] Low Noise Amplifier
RF Module Design - [Chapter 5]  Low Noise AmplifierRF Module Design - [Chapter 5]  Low Noise Amplifier
RF Module Design - [Chapter 5] Low Noise Amplifier
 
GAA nano wire FET
GAA nano wire FETGAA nano wire FET
GAA nano wire FET
 
A SiGe BiCMOS E-Band Power Amplifier with 22% PAE at 18dBm OP1dB and 8.5% at ...
A SiGe BiCMOS E-Band Power Amplifier with 22% PAE at 18dBm OP1dB and 8.5% at ...A SiGe BiCMOS E-Band Power Amplifier with 22% PAE at 18dBm OP1dB and 8.5% at ...
A SiGe BiCMOS E-Band Power Amplifier with 22% PAE at 18dBm OP1dB and 8.5% at ...
 
Pr045 deep lab_semantic_segmentation
Pr045 deep lab_semantic_segmentationPr045 deep lab_semantic_segmentation
Pr045 deep lab_semantic_segmentation
 
Developing new zynq based instruments
Developing new zynq based instrumentsDeveloping new zynq based instruments
Developing new zynq based instruments
 
Mosfet short channel effects
Mosfet short channel effectsMosfet short channel effects
Mosfet short channel effects
 
RF Circuit Design - [Ch2-2] Smith Chart
RF Circuit Design - [Ch2-2] Smith ChartRF Circuit Design - [Ch2-2] Smith Chart
RF Circuit Design - [Ch2-2] Smith Chart
 
Design & implementation of high speed carry select adder
Design & implementation of high speed carry select adderDesign & implementation of high speed carry select adder
Design & implementation of high speed carry select adder
 
Cadence
CadenceCadence
Cadence
 
トランジスタ コルピッツ型LC発振回路シミュレーション
トランジスタ コルピッツ型LC発振回路シミュレーショントランジスタ コルピッツ型LC発振回路シミュレーション
トランジスタ コルピッツ型LC発振回路シミュレーション
 
Assembly language 8086 intermediate
Assembly language 8086 intermediateAssembly language 8086 intermediate
Assembly language 8086 intermediate
 
Voltage Controlled Oscillator Design - Short Course at NKFUST, 2013
Voltage Controlled Oscillator Design - Short Course at NKFUST, 2013Voltage Controlled Oscillator Design - Short Course at NKFUST, 2013
Voltage Controlled Oscillator Design - Short Course at NKFUST, 2013
 
Negitive Feedback in Analog IC Design 02 April 2020
Negitive Feedback in Analog IC Design 02 April 2020  Negitive Feedback in Analog IC Design 02 April 2020
Negitive Feedback in Analog IC Design 02 April 2020
 
Bart : Denoising Sequence-to-Sequence Pre-training for Natural Language Gener...
Bart : Denoising Sequence-to-Sequence Pre-training for Natural Language Gener...Bart : Denoising Sequence-to-Sequence Pre-training for Natural Language Gener...
Bart : Denoising Sequence-to-Sequence Pre-training for Natural Language Gener...
 
Ch8 lecture slides Chenming Hu Device for IC
Ch8 lecture slides Chenming Hu Device for ICCh8 lecture slides Chenming Hu Device for IC
Ch8 lecture slides Chenming Hu Device for IC
 
Efficient and effective passage search via contextualized late interaction ov...
Efficient and effective passage search via contextualized late interaction ov...Efficient and effective passage search via contextualized late interaction ov...
Efficient and effective passage search via contextualized late interaction ov...
 
(VLSI DESIGN AND EMBEDDED SYSTEMS) technical seminar-2015
(VLSI DESIGN AND EMBEDDED SYSTEMS) technical seminar-2015(VLSI DESIGN AND EMBEDDED SYSTEMS) technical seminar-2015
(VLSI DESIGN AND EMBEDDED SYSTEMS) technical seminar-2015
 
Implementation of 4-bit R-2R DAC on CADENCE Tools
Implementation of 4-bit R-2R DAC on CADENCE ToolsImplementation of 4-bit R-2R DAC on CADENCE Tools
Implementation of 4-bit R-2R DAC on CADENCE Tools
 
different logic full adders
different logic full addersdifferent logic full adders
different logic full adders
 

Viewers also liked

Good report on Adders/Prefix adders
Good report on Adders/Prefix addersGood report on Adders/Prefix adders
Good report on Adders/Prefix addersPeeyush Pashine
 
Parallel Prefix Adders Presentation
Parallel Prefix Adders PresentationParallel Prefix Adders Presentation
Parallel Prefix Adders PresentationPeeyush Pashine
 
Cmos Arithmetic Circuits
Cmos Arithmetic CircuitsCmos Arithmetic Circuits
Cmos Arithmetic Circuitsankitgoel
 
Design of a high speed low power Brent Kung Adder in 45nM CMOS
Design of a high speed low power Brent Kung Adder in 45nM CMOSDesign of a high speed low power Brent Kung Adder in 45nM CMOS
Design of a high speed low power Brent Kung Adder in 45nM CMOSNirav Desai
 
design of high speed performance 64bit mac unit
design of high speed performance 64bit mac unitdesign of high speed performance 64bit mac unit
design of high speed performance 64bit mac unitShiva Narayan Reddy
 
Wallace tree multiplier.pptx1
Wallace tree multiplier.pptx1Wallace tree multiplier.pptx1
Wallace tree multiplier.pptx1vamshi krishna
 
Wallace tree multiplier
Wallace tree multiplierWallace tree multiplier
Wallace tree multiplierSudhir Kumar
 
Bit Serial multiplier using Verilog
Bit Serial multiplier using VerilogBit Serial multiplier using Verilog
Bit Serial multiplier using VerilogBhargavKatkam
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programsGouthaman V
 
Booths Multiplication Algorithm
Booths Multiplication AlgorithmBooths Multiplication Algorithm
Booths Multiplication Algorithmknightnick
 

Viewers also liked (17)

Good report on Adders/Prefix adders
Good report on Adders/Prefix addersGood report on Adders/Prefix adders
Good report on Adders/Prefix adders
 
Parallel Prefix Adders Presentation
Parallel Prefix Adders PresentationParallel Prefix Adders Presentation
Parallel Prefix Adders Presentation
 
Cmos Arithmetic Circuits
Cmos Arithmetic CircuitsCmos Arithmetic Circuits
Cmos Arithmetic Circuits
 
Lecture25
Lecture25Lecture25
Lecture25
 
Lecture28
Lecture28Lecture28
Lecture28
 
Design of a high speed low power Brent Kung Adder in 45nM CMOS
Design of a high speed low power Brent Kung Adder in 45nM CMOSDesign of a high speed low power Brent Kung Adder in 45nM CMOS
Design of a high speed low power Brent Kung Adder in 45nM CMOS
 
Final ppt
Final pptFinal ppt
Final ppt
 
Half adder layout design
Half adder layout designHalf adder layout design
Half adder layout design
 
design of high speed performance 64bit mac unit
design of high speed performance 64bit mac unitdesign of high speed performance 64bit mac unit
design of high speed performance 64bit mac unit
 
Wallace tree multiplier.pptx1
Wallace tree multiplier.pptx1Wallace tree multiplier.pptx1
Wallace tree multiplier.pptx1
 
Wallace tree multiplier
Wallace tree multiplierWallace tree multiplier
Wallace tree multiplier
 
Booth Multiplier
Booth MultiplierBooth Multiplier
Booth Multiplier
 
Bit Serial multiplier using Verilog
Bit Serial multiplier using VerilogBit Serial multiplier using Verilog
Bit Serial multiplier using Verilog
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programs
 
Array multiplier
Array multiplierArray multiplier
Array multiplier
 
Booths Multiplication Algorithm
Booths Multiplication AlgorithmBooths Multiplication Algorithm
Booths Multiplication Algorithm
 
Kogge Stone Adder
Kogge Stone AdderKogge Stone Adder
Kogge Stone Adder
 

More from Peeyush Pashine (14)

Temperature Controlled Fan Report
Temperature Controlled Fan ReportTemperature Controlled Fan Report
Temperature Controlled Fan Report
 
Temperature Controlled Fan
Temperature Controlled FanTemperature Controlled Fan
Temperature Controlled Fan
 
Robots
RobotsRobots
Robots
 
Power Ingredients
Power IngredientsPower Ingredients
Power Ingredients
 
Itms
ItmsItms
Itms
 
Ecg
EcgEcg
Ecg
 
Dsp Presentation
Dsp PresentationDsp Presentation
Dsp Presentation
 
Adder Presentation
Adder PresentationAdder Presentation
Adder Presentation
 
My Report on adders
My Report on addersMy Report on adders
My Report on adders
 
Decimal arithmetic in Processors
Decimal arithmetic in ProcessorsDecimal arithmetic in Processors
Decimal arithmetic in Processors
 
Control Unit Working
Control Unit WorkingControl Unit Working
Control Unit Working
 
Smith Adder
Smith AdderSmith Adder
Smith Adder
 
Smith Adder
Smith AdderSmith Adder
Smith Adder
 
Report adders
Report addersReport adders
Report adders
 

111adder

  • 1. Adder comparisons and New (1,1,1)adder Peeyush Pashine 2011H140033H
  • 3. Sklansky adder (p8, g8) (p7, g7) (p6, g6) (p5, g5) (p4, g4) (p3, g3) (p2, g2) (p1, g1) c8 c7 c6 c5 c4 c3 c2 c1
  • 4. Skalnsky adder 16 bit 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15:1 13:1 11:1 9: 7: 5: 3: 1: 4 2 0 8 6 4 2 0 15:1 14:1 11: 10: 7: 6: 3: 2: 2 2 8 8 4 4 0 0 15: 14: 13: 12: 8 8 8 8 15:014:013:0 12:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 5. Ladner fischer adder 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15:14 13:12 11:10 9:8 7:6 5:4 3:2 1:0 15:12 11:8 7:4 3:0 15:8 13:8 7:0 5:0 15:8 13:0 11:0 9:0 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 6. Kogge stone adder 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15:14 14:13 13:12 12:11 11:10 10:9 9:8 8:7 7:6 6:5 5:4 4:3 3:2 2:1 1:0 15:12 14:11 13:10 12:9 11:8 10:7 9:6 8:5 7:4 6:3 5:2 4:1 3:0 2:0 15:8 14:7 13:6 12:5 11:4 10:3 9:2 8:1 7:0 6:0 5:0 4:0 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 7. Classical prefix adders 8 7 6 5 4 3 2 1 8 7 6 5 4 3 2 1 8 7 6 5 4 3 2 1 8:1 7:1 6:1 5:1 4:1 3:1 2:1 1 8:1 7:1 6:1 5:1 4:1 3:1 2:1 1 8:1 7:1 6:1 5:1 4:1 3:1 2:1 1 Brent-Kung: Sklansky: Kogge-Stone: Logical levels: 2log2n–1 Logical levels: log2n Logical levels: log2n Max fanouts: 2 Max fanouts: n/2 Max fanouts: 2 Wire tracks: 1 Wire tracks: 1 Wire tracks: n/2 7
  • 10. Topology of some prefix adders Brent-Kung topology (Minimum fan-out) Knowles topologies (Varied fan-out at each level ) Ladner-Fischer topology (Minimum depth, high fanout)
  • 13. 12 11 10 9 8 7 6 5 4 3 2 1 12:1 11:1 10:1 9:1 8:1 7:1 6:1 5:1 4:1 3:1 2:1 1