SlideShare a Scribd company logo
1 of 13
Download to read offline
Abstract
Materials processing with a gas cluster ion beam (GCIB) is an
emerging technology that produces novel material properties in
the near-surface (<40nm) regime. Results are presented from a
series of GCIB infusions of GeH4 into Si(100) substrates for
the purpose of producing a strained Si(1-x)Gex layer relative to
the Si substrate. A broad range of post-GCIB anneal schedules
over a range of 400 ºC to 1200 ºC was investigated. Selected
samples were analyzed by RBS/channeling, cross-sectional
TEM, and SIMS to assess composition and crystal quality.
High-resolution axial scans about the <110> channeled
direction were surveyed for evidence of lattice strain
(tetragonal distortion). Comparison is made to a commercial
epitaxial Si75Ge25 film.
1
Gas Cluster Ion Beam (GCIB)
Atmospheric
Front End
High Voltage
Electronics
Facilities
User
Interface
Low Voltage
Electronics
Beam-Line
Process
Chamber
Atmospheric
Front End
High Voltage
Electronics
Facilities
User
Interface
Low Voltage
Electronics
Beam-Line
Process
Chamber
Gas clusters are
formed by adiabatic
expansion of a jet of
gas introduced into
high vacuum through
a nozzle. Ionization
and acceleration
produce a directed,
energetic chemical
beam for unique
materials processing.
2
GCIB “Infusion”
~30 Å~30 Å
Si(100)
typical
GeH4/Ar cluster impact
“infused” Ge
amorphized region [2]
2 – 40nm scales to (keV)1/3
At impact, the cluster
immediately dissociates
and a transient (<10psec)
thermal and pressure
spike [1] defines the
amorphized infusion
region.
High energy (keV) cluster
effects a low energy/atom
(<10eV/atom) processing
of the surface.
Result is extreme chemical and
physical reactions in the near-
surface region independent of
dopant mass.
dopant
3
Experiment
Substrate: 200mm Si(100) oxide stripped
Process: GeH4/Ar infused at 30keV
Infusion dose: 3.6E16 Ge/cm2
Cleaved for tube-furnace anneals in overpressure of UHP N2
Various anneal schedules included VLTA (very low
temperature anneal) steps and HTA (high temp. anneal) steps.
VLTA HTA
Single Step
Two Step 375 º - 550 ºC 700 º - 1200 ºC
550 ºC – 900 ºC
4
Ge Profile vs. Anneal
1E+19
1E+20
1E+21
1E+22
1E+23
0 200 400 600 800 1000 1200
Depth (Å)
Concentration(Geatoms/cm3
)
700C_1 Hr
900C_10min
400C_ 1Hr + 900C_1 Hr
400C_1Hr + 1000C_10min
400C_1Hr + 1200C_5min
SIMS Profiles
Selected post-anneal samples showing anneal schedules.
5
Cross-sectional TEM
(on-axis imaging)
6
Surface
As-infused 400 ºC+900 ºC 400 ºC+1200 ºC
• As-infused has 240Å deep amorphous region
• Higher temperature (>900 ºC) necessary to reduce defects
• 1200 ºC has lower contrast and indicates Ge diffusion
Cross-sectional TEM
(on-axis imaging)
7
Surface
400 ºC+900 ºC 400 ºC+1200 ºC
All high temperature anneals resulted in regrowth
epitaxial to Si(100) substrate.
8
RBS/channeling
2.0 MeV @ 169.7º scattering
400 ºC - 1 Hr. + 1000 ºC - 10 Min.
0
100
200
300
400
500
600
700
100 200 300 400 500 600 700
Channel
Counts
Random
<100>
Si
Ge
58%
aligned
to
Si<100>
700 ºC - 1 Hr.
0
50
100
150
200
250
300
350
400
450
500
100 300 500 700 900
Channel
Counts
Random
<100> Si
d
Ge
8%
aligned to
Si<100>
900 ºC - 10 Min.
0
50
100
150
200
250
300
350
400
450
500
100 300 500 700 900
Channel
Counts
Random
<100>
Si
Ge
19%
aligned
to
Si<100>
400 ºC - 1 Hr. + 900 ºC - 1 Hr.
0
50
100
150
200
250
300
350
400
450
500
100 300 500 700 900
Channel
Counts
Random
<100> Si
Ge
44%
aligned
to
Si<100>
9
RBS/channeling
Comparison of Ge infusion (400º/1200 ºC) with 340Å Epi Si75Ge25
Comparison of Ge Infusion to 340Å EPI
0
10
20
30
40
50
60
70
400 500 600 700 800 900
Channel
Counts
Si
Ge
signal
3X
to show
detailEPI control
Infusion
<100> χmin
Si Ge
Infused: 17% 18%
EPI: 11% 12%
Determination of Lattice Strain
SiGe
Si(100)
(a) (b)
10
Origin of “kink angle”
Presence of a “kink angle” θκ denotes tetragonal elongation εT along the <100> axis
due to the Poisson effect from constraint in the {100} plane. (a) from [3] and
(b) from [4]
εT
11
Channeling scan about <110>
Axial scan about <110> for the 400º/1200ºC anneal. A kink angle of 0.05º indicates
tetragonal distortion (strain) relative to the Si(100) lattice.
Normalized Angular Scan about <110>
6th order Polynomial Fit
0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
1
-0.50 -0.30 -0.10 0.10 0.30 0.50
Tilt axis theta (degree)
NormalizedYield
0.075º
Si
θκ = 0.05º
Ge
0.025º
3.8 MeV He+
12
Summary
GCIB Ge infusion of silicon with appropriate annealing can
produce recrystallization of a graded SiGe layer of comparable
crystal quality to commercial epitaxial growth methods. High
temperature anneals above 900 ºC are required to reduce
defects such as stacking faults. Highly localized lattice strain in
the form of tetragonal distortion is demonstrated. Quantitative
assignment of strain is not straightforward due to Ge gradient.
Modeling of this is underway.
Acknowledgments
The authors gratefully acknowledge the efforts of Jie Zhu at SUNY-Albany for
countless late-night hours of data collection at the accelerator and Allysa Vanderpot
for tireless sample preparation and annealing. We extend our sincere thanks to
Kevin Jones, University of Florida, for our XTEM micrographs.
References
13
1. I. Yamada, J. Matsuo, N. Toyoda, A. Kirkpatrick, Materials Science and Engineering
Reports, 34 (6), 231-295 (2001).
2. J. Borland, J. Hautala, M. Gwinn, T. G. Tetreault, W. Skinner, “USJ and strained-Si
formation using infusion doping and deposition” in Solid State Technology, May 2004,
p. 53.
3. M. Xu, Z. Atzmon, A. Schroer, B. Wilkens, and J. W. Mayer in Materials Synthesis
and Processing Using Ion Beams, edited by R. J. Culbertson, O. W. Holland,
K. S. Jones and K. Maex, (Mater. Res. Soc. Symp. Proc. 316, Pittsburgh, PA, 1993)
pp.679-684.
4. B. J. Robinson, D. A. Thompson, Y. Yang, B. K. Garside, J. A. Davies and P. E. Jessop,
Vacuum, 39, (2-4), 133-135 (1989).

More Related Content

Viewers also liked

Metal nanocluster
Metal nanoclusterMetal nanocluster
Metal nanoclusterSudama04
 
cryogenic grinding
cryogenic grindingcryogenic grinding
cryogenic grindinghmthimanshu
 
cryogenic grinding pdf
cryogenic grinding pdfcryogenic grinding pdf
cryogenic grinding pdfhmthimanshu
 
Cryogenic grinding
Cryogenic grindingCryogenic grinding
Cryogenic grindingManda
 

Viewers also liked (6)

Metal nanocluster
Metal nanoclusterMetal nanocluster
Metal nanocluster
 
cryogenic grinding
cryogenic grindingcryogenic grinding
cryogenic grinding
 
cryogenic grinding pdf
cryogenic grinding pdfcryogenic grinding pdf
cryogenic grinding pdf
 
Cryogenic Grinding
Cryogenic GrindingCryogenic Grinding
Cryogenic Grinding
 
Cryogenic grinding{renew}
Cryogenic grinding{renew}Cryogenic grinding{renew}
Cryogenic grinding{renew}
 
Cryogenic grinding
Cryogenic grindingCryogenic grinding
Cryogenic grinding
 

Similar to 2006 Fall MRS Presentation: "Gas Cluster Ge Infusion for Si(1-x)Ge(x) Strained-Layer Applications"

Bhavana Peri- IUMRS Presentation- 17th Dec 2013.pptx
Bhavana Peri- IUMRS Presentation- 17th Dec 2013.pptxBhavana Peri- IUMRS Presentation- 17th Dec 2013.pptx
Bhavana Peri- IUMRS Presentation- 17th Dec 2013.pptxJayminRay1
 
Defense presentation
Defense presentationDefense presentation
Defense presentationJayur Mistry
 
Mehul_C_Raval_PhD_Thesis_Work
Mehul_C_Raval_PhD_Thesis_WorkMehul_C_Raval_PhD_Thesis_Work
Mehul_C_Raval_PhD_Thesis_WorkMehul Raval
 
S160016 anushri surbhi_iitk
S160016 anushri surbhi_iitkS160016 anushri surbhi_iitk
S160016 anushri surbhi_iitkAnushri Surbhi
 
세라믹 후막 공정 기반 저온작동형 SOFC 개발
세라믹 후막 공정 기반 저온작동형 SOFC 개발세라믹 후막 공정 기반 저온작동형 SOFC 개발
세라믹 후막 공정 기반 저온작동형 SOFC 개발ssuser3d30b7
 
Thermal barrier coatings (tbc)
Thermal barrier coatings (tbc)Thermal barrier coatings (tbc)
Thermal barrier coatings (tbc)AmolGilorkar
 
Capstone Presentation: High-Temperature Microporous Insulation
Capstone Presentation: High-Temperature Microporous InsulationCapstone Presentation: High-Temperature Microporous Insulation
Capstone Presentation: High-Temperature Microporous InsulationAlex Melvin
 
Study of Crystallization Process of Fe78Tm2B20 and Fe76Tm4B20 Alloys
Study of Crystallization Process of Fe78Tm2B20 and Fe76Tm4B20 AlloysStudy of Crystallization Process of Fe78Tm2B20 and Fe76Tm4B20 Alloys
Study of Crystallization Process of Fe78Tm2B20 and Fe76Tm4B20 AlloysIJERA Editor
 
SiC FOR HIGH TEMPERATURE APPLICATIONS
SiC FOR HIGH TEMPERATURE APPLICATIONSSiC FOR HIGH TEMPERATURE APPLICATIONS
SiC FOR HIGH TEMPERATURE APPLICATIONSSOUMEN GIRI
 
Ecap akhil
Ecap akhilEcap akhil
Ecap akhilAkhil PS
 
Andrea maria camacho romero - preparation of nb3 gaal superconductors
Andrea maria camacho romero - preparation of nb3 gaal superconductorsAndrea maria camacho romero - preparation of nb3 gaal superconductors
Andrea maria camacho romero - preparation of nb3 gaal superconductorsthinfilmsworkshop
 
The impact of surface hydrogenation on the thermionic electron emission from ...
The impact of surface hydrogenation on the thermionic electron emission from ...The impact of surface hydrogenation on the thermionic electron emission from ...
The impact of surface hydrogenation on the thermionic electron emission from ...PROMETHEUS Energy
 
Younes Sina, Ion implantation and thermal annealing of α-Al2O3 single crystals
Younes Sina, Ion implantation and thermal annealing of α-Al2O3 single crystalsYounes Sina, Ion implantation and thermal annealing of α-Al2O3 single crystals
Younes Sina, Ion implantation and thermal annealing of α-Al2O3 single crystalsYounes Sina
 
How to Leverage Artificial Intelligence to Accelerate Data Collection and Ana...
How to Leverage Artificial Intelligence to Accelerate Data Collection and Ana...How to Leverage Artificial Intelligence to Accelerate Data Collection and Ana...
How to Leverage Artificial Intelligence to Accelerate Data Collection and Ana...aimsnist
 
Effect of Step Quenching and Tempering on the Corrosion Behaviour of a Low Ca...
Effect of Step Quenching and Tempering on the Corrosion Behaviour of a Low Ca...Effect of Step Quenching and Tempering on the Corrosion Behaviour of a Low Ca...
Effect of Step Quenching and Tempering on the Corrosion Behaviour of a Low Ca...inventionjournals
 
Hot wire Anemometer: microfabrication
Hot wire Anemometer: microfabricationHot wire Anemometer: microfabrication
Hot wire Anemometer: microfabricationdavidecamm
 

Similar to 2006 Fall MRS Presentation: "Gas Cluster Ge Infusion for Si(1-x)Ge(x) Strained-Layer Applications" (20)

MSc Thesis Presentation
MSc Thesis PresentationMSc Thesis Presentation
MSc Thesis Presentation
 
Bhavana Peri- IUMRS Presentation- 17th Dec 2013.pptx
Bhavana Peri- IUMRS Presentation- 17th Dec 2013.pptxBhavana Peri- IUMRS Presentation- 17th Dec 2013.pptx
Bhavana Peri- IUMRS Presentation- 17th Dec 2013.pptx
 
ResearchSummary
ResearchSummaryResearchSummary
ResearchSummary
 
Defense presentation
Defense presentationDefense presentation
Defense presentation
 
Mehul_C_Raval_PhD_Thesis_Work
Mehul_C_Raval_PhD_Thesis_WorkMehul_C_Raval_PhD_Thesis_Work
Mehul_C_Raval_PhD_Thesis_Work
 
S160016 anushri surbhi_iitk
S160016 anushri surbhi_iitkS160016 anushri surbhi_iitk
S160016 anushri surbhi_iitk
 
세라믹 후막 공정 기반 저온작동형 SOFC 개발
세라믹 후막 공정 기반 저온작동형 SOFC 개발세라믹 후막 공정 기반 저온작동형 SOFC 개발
세라믹 후막 공정 기반 저온작동형 SOFC 개발
 
Thermal barrier coatings (tbc)
Thermal barrier coatings (tbc)Thermal barrier coatings (tbc)
Thermal barrier coatings (tbc)
 
lattice_constant_paper
lattice_constant_paperlattice_constant_paper
lattice_constant_paper
 
Capstone Presentation: High-Temperature Microporous Insulation
Capstone Presentation: High-Temperature Microporous InsulationCapstone Presentation: High-Temperature Microporous Insulation
Capstone Presentation: High-Temperature Microporous Insulation
 
Study of Crystallization Process of Fe78Tm2B20 and Fe76Tm4B20 Alloys
Study of Crystallization Process of Fe78Tm2B20 and Fe76Tm4B20 AlloysStudy of Crystallization Process of Fe78Tm2B20 and Fe76Tm4B20 Alloys
Study of Crystallization Process of Fe78Tm2B20 and Fe76Tm4B20 Alloys
 
SiC FOR HIGH TEMPERATURE APPLICATIONS
SiC FOR HIGH TEMPERATURE APPLICATIONSSiC FOR HIGH TEMPERATURE APPLICATIONS
SiC FOR HIGH TEMPERATURE APPLICATIONS
 
Ecap akhil
Ecap akhilEcap akhil
Ecap akhil
 
Andrea maria camacho romero - preparation of nb3 gaal superconductors
Andrea maria camacho romero - preparation of nb3 gaal superconductorsAndrea maria camacho romero - preparation of nb3 gaal superconductors
Andrea maria camacho romero - preparation of nb3 gaal superconductors
 
The impact of surface hydrogenation on the thermionic electron emission from ...
The impact of surface hydrogenation on the thermionic electron emission from ...The impact of surface hydrogenation on the thermionic electron emission from ...
The impact of surface hydrogenation on the thermionic electron emission from ...
 
Younes Sina, Ion implantation and thermal annealing of α-Al2O3 single crystals
Younes Sina, Ion implantation and thermal annealing of α-Al2O3 single crystalsYounes Sina, Ion implantation and thermal annealing of α-Al2O3 single crystals
Younes Sina, Ion implantation and thermal annealing of α-Al2O3 single crystals
 
How to Leverage Artificial Intelligence to Accelerate Data Collection and Ana...
How to Leverage Artificial Intelligence to Accelerate Data Collection and Ana...How to Leverage Artificial Intelligence to Accelerate Data Collection and Ana...
How to Leverage Artificial Intelligence to Accelerate Data Collection and Ana...
 
Effect of Step Quenching and Tempering on the Corrosion Behaviour of a Low Ca...
Effect of Step Quenching and Tempering on the Corrosion Behaviour of a Low Ca...Effect of Step Quenching and Tempering on the Corrosion Behaviour of a Low Ca...
Effect of Step Quenching and Tempering on the Corrosion Behaviour of a Low Ca...
 
Hot wire Anemometer: microfabrication
Hot wire Anemometer: microfabricationHot wire Anemometer: microfabrication
Hot wire Anemometer: microfabrication
 
Artículo alsiticn
Artículo alsiticnArtículo alsiticn
Artículo alsiticn
 

2006 Fall MRS Presentation: "Gas Cluster Ge Infusion for Si(1-x)Ge(x) Strained-Layer Applications"

  • 1. Abstract Materials processing with a gas cluster ion beam (GCIB) is an emerging technology that produces novel material properties in the near-surface (<40nm) regime. Results are presented from a series of GCIB infusions of GeH4 into Si(100) substrates for the purpose of producing a strained Si(1-x)Gex layer relative to the Si substrate. A broad range of post-GCIB anneal schedules over a range of 400 ºC to 1200 ºC was investigated. Selected samples were analyzed by RBS/channeling, cross-sectional TEM, and SIMS to assess composition and crystal quality. High-resolution axial scans about the <110> channeled direction were surveyed for evidence of lattice strain (tetragonal distortion). Comparison is made to a commercial epitaxial Si75Ge25 film. 1
  • 2. Gas Cluster Ion Beam (GCIB) Atmospheric Front End High Voltage Electronics Facilities User Interface Low Voltage Electronics Beam-Line Process Chamber Atmospheric Front End High Voltage Electronics Facilities User Interface Low Voltage Electronics Beam-Line Process Chamber Gas clusters are formed by adiabatic expansion of a jet of gas introduced into high vacuum through a nozzle. Ionization and acceleration produce a directed, energetic chemical beam for unique materials processing. 2
  • 3. GCIB “Infusion” ~30 Å~30 Å Si(100) typical GeH4/Ar cluster impact “infused” Ge amorphized region [2] 2 – 40nm scales to (keV)1/3 At impact, the cluster immediately dissociates and a transient (<10psec) thermal and pressure spike [1] defines the amorphized infusion region. High energy (keV) cluster effects a low energy/atom (<10eV/atom) processing of the surface. Result is extreme chemical and physical reactions in the near- surface region independent of dopant mass. dopant 3
  • 4. Experiment Substrate: 200mm Si(100) oxide stripped Process: GeH4/Ar infused at 30keV Infusion dose: 3.6E16 Ge/cm2 Cleaved for tube-furnace anneals in overpressure of UHP N2 Various anneal schedules included VLTA (very low temperature anneal) steps and HTA (high temp. anneal) steps. VLTA HTA Single Step Two Step 375 º - 550 ºC 700 º - 1200 ºC 550 ºC – 900 ºC 4
  • 5. Ge Profile vs. Anneal 1E+19 1E+20 1E+21 1E+22 1E+23 0 200 400 600 800 1000 1200 Depth (Å) Concentration(Geatoms/cm3 ) 700C_1 Hr 900C_10min 400C_ 1Hr + 900C_1 Hr 400C_1Hr + 1000C_10min 400C_1Hr + 1200C_5min SIMS Profiles Selected post-anneal samples showing anneal schedules. 5
  • 6. Cross-sectional TEM (on-axis imaging) 6 Surface As-infused 400 ºC+900 ºC 400 ºC+1200 ºC • As-infused has 240Å deep amorphous region • Higher temperature (>900 ºC) necessary to reduce defects • 1200 ºC has lower contrast and indicates Ge diffusion
  • 7. Cross-sectional TEM (on-axis imaging) 7 Surface 400 ºC+900 ºC 400 ºC+1200 ºC All high temperature anneals resulted in regrowth epitaxial to Si(100) substrate.
  • 8. 8 RBS/channeling 2.0 MeV @ 169.7º scattering 400 ºC - 1 Hr. + 1000 ºC - 10 Min. 0 100 200 300 400 500 600 700 100 200 300 400 500 600 700 Channel Counts Random <100> Si Ge 58% aligned to Si<100> 700 ºC - 1 Hr. 0 50 100 150 200 250 300 350 400 450 500 100 300 500 700 900 Channel Counts Random <100> Si d Ge 8% aligned to Si<100> 900 ºC - 10 Min. 0 50 100 150 200 250 300 350 400 450 500 100 300 500 700 900 Channel Counts Random <100> Si Ge 19% aligned to Si<100> 400 ºC - 1 Hr. + 900 ºC - 1 Hr. 0 50 100 150 200 250 300 350 400 450 500 100 300 500 700 900 Channel Counts Random <100> Si Ge 44% aligned to Si<100>
  • 9. 9 RBS/channeling Comparison of Ge infusion (400º/1200 ºC) with 340Å Epi Si75Ge25 Comparison of Ge Infusion to 340Å EPI 0 10 20 30 40 50 60 70 400 500 600 700 800 900 Channel Counts Si Ge signal 3X to show detailEPI control Infusion <100> χmin Si Ge Infused: 17% 18% EPI: 11% 12%
  • 10. Determination of Lattice Strain SiGe Si(100) (a) (b) 10 Origin of “kink angle” Presence of a “kink angle” θκ denotes tetragonal elongation εT along the <100> axis due to the Poisson effect from constraint in the {100} plane. (a) from [3] and (b) from [4] εT
  • 11. 11 Channeling scan about <110> Axial scan about <110> for the 400º/1200ºC anneal. A kink angle of 0.05º indicates tetragonal distortion (strain) relative to the Si(100) lattice. Normalized Angular Scan about <110> 6th order Polynomial Fit 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 -0.50 -0.30 -0.10 0.10 0.30 0.50 Tilt axis theta (degree) NormalizedYield 0.075º Si θκ = 0.05º Ge 0.025º 3.8 MeV He+
  • 12. 12 Summary GCIB Ge infusion of silicon with appropriate annealing can produce recrystallization of a graded SiGe layer of comparable crystal quality to commercial epitaxial growth methods. High temperature anneals above 900 ºC are required to reduce defects such as stacking faults. Highly localized lattice strain in the form of tetragonal distortion is demonstrated. Quantitative assignment of strain is not straightforward due to Ge gradient. Modeling of this is underway. Acknowledgments The authors gratefully acknowledge the efforts of Jie Zhu at SUNY-Albany for countless late-night hours of data collection at the accelerator and Allysa Vanderpot for tireless sample preparation and annealing. We extend our sincere thanks to Kevin Jones, University of Florida, for our XTEM micrographs.
  • 13. References 13 1. I. Yamada, J. Matsuo, N. Toyoda, A. Kirkpatrick, Materials Science and Engineering Reports, 34 (6), 231-295 (2001). 2. J. Borland, J. Hautala, M. Gwinn, T. G. Tetreault, W. Skinner, “USJ and strained-Si formation using infusion doping and deposition” in Solid State Technology, May 2004, p. 53. 3. M. Xu, Z. Atzmon, A. Schroer, B. Wilkens, and J. W. Mayer in Materials Synthesis and Processing Using Ion Beams, edited by R. J. Culbertson, O. W. Holland, K. S. Jones and K. Maex, (Mater. Res. Soc. Symp. Proc. 316, Pittsburgh, PA, 1993) pp.679-684. 4. B. J. Robinson, D. A. Thompson, Y. Yang, B. K. Garside, J. A. Davies and P. E. Jessop, Vacuum, 39, (2-4), 133-135 (1989).