SlideShare a Scribd company logo
1 of 101
Download to read offline
UNIVERSITÀ DEGLI STUDI DI TRIESTE
Dipartimento di Ingegneria e Architettura
Laurea Magistrale in Ingegneria Elettronica e
Informatica
Progetto, realizzazione e caratterizzazione
dell’elettronica di acquisizione per misure
risolte in tempo basate su diverse tipologie di
TDC e primo esperimento con luce di
sincrotrone.
1 ottobre 2020
Laureando Relatore
Marco Cautero Chiar.mo Prof. Sergio Carrato
Correlatore
Ing. Gabriele Brajnik
Dott. Robert Richter
Anno Accademico 2019/2020
Arriving
somewhere
but not here.
— Steven Wilson – da Deadwing —
Indice
Introduzione iii
1 Misure risolte in tempo ed energia 1
1.1 Misure di coincidenza . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.1.1 Photoelectron-Photoelectron Coincidence . . . . . . . . . 2
1.1.2 Photoelectron-Photoion Coincidence . . . . . . . . . . . . 3
1.2 Setup sperimentale . . . . . . . . . . . . . . . . . . . . . . . . . . 6
1.2.1 Moltiplicatori di carica . . . . . . . . . . . . . . . . . . . . 6
1.2.2 Analizzatore elettronico e rivelatori bidimensionali . . . . 9
1.2.3 Analizzatore a tempo di volo . . . . . . . . . . . . . . . . 11
2 Time to Digital Converter 14
2.1 TDC basati su contatori . . . . . . . . . . . . . . . . . . . . . . . . 15
2.2 TDC Analogici . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
2.2.1 TDC a singola rampa . . . . . . . . . . . . . . . . . . . . . 17
2.2.2 TDC Time Stretching . . . . . . . . . . . . . . . . . . . . . 18
2.3 TDC Digitali . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
2.3.1 Tapped-Delay-Line . . . . . . . . . . . . . . . . . . . . . . 20
2.3.2 Vernier TDC . . . . . . . . . . . . . . . . . . . . . . . . . . 22
2.4 Confronto . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
3 GPX2 25
3.1 Panoramica . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
3.2 Configurazione e protocolli di comunicazione . . . . . . . . . . . 28
3.3 Apparato iniziale . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
3.4 Algoritmo di ricostruzione del tempo assoluto . . . . . . . . . . . 33
3.5 Formato dati . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35
3.6 Caratterizzazione . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
3.6.1 Fenomeni di quantizzazione . . . . . . . . . . . . . . . . . 37
3.6.2 Modalità High Resolution . . . . . . . . . . . . . . . . . . 38
4 Misure su Banco e su linea di Sincrotrone 44
4.1 Misure su banco . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
4.2 Esperimento su CiPo . . . . . . . . . . . . . . . . . . . . . . . . . 49
i
INDICE
4.2.1 Apparato sperimentale . . . . . . . . . . . . . . . . . . . . 49
4.2.2 Acquisizione ed elaborazione dati . . . . . . . . . . . . . . 53
4.2.3 Risultati sperimentali . . . . . . . . . . . . . . . . . . . . . 58
5 Progettazione del futuro sistema 62
5.1 PCB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
5.2 Firmware e software. . . . . . . . . . . . . . . . . . . . . . . . . . 67
Conclusioni 72
A VI di acquisizione 74
B Schematici 79
Lista delle Abbreviazioni 87
ii
Introduzione
Uno dei settori dove negli ultimi anni la ricerca scientifica si sta focalizzando è
quello che riguarda lo studio delle evoluzioni temporali dei fenomeni chimico-
fisici[1][2][3]. Il forte interesse nelle cosiddette “misure risolte in tempo” ha
portato alla realizzazione di sorgenti di luce come i free electron laser, sincrotroni
di terza generazione, laser basati su high harmonic generation: tutte macchine
caratterizzate dalla capacità di creare impulsi elettromagnetici di durata inferiore al
picosecondo, o anche solo di pochi femtosecondi (nel caso dei laser) tramite i quali
si vogliono investigare le dinamiche dei fenomeni che avvengono su queste scale
temporali. In questo ambito di ricerca trovano un importante spazio le "misure
correlate", ovvero esperimenti dove non si vuole solo conoscere il tempo in cui
avviene un certo fenomeno, o la sua durata, ma si vuole anche correlare questo
evento, sempre nella scala dei pico o femtosecondi, con altri eventi fisici che in
qualche modo sono fisicamente legati tra loro.
Il laboratorio strumentazione e detector di Elettra è da anni molto attivo su
questo argomento ed ha sviluppato un tipo di rivelatore di particelle basato su
linee di ritardo, il quale consente di conoscere oltre alla posizione di arrivo delle
particelle in esame anche il tempo di arrivo di queste ultime. Sebbene questi
rivelatori siano necessari per misure risolte in tempo, le loro attuali prestazioni
non sono adeguate per soddisfare le esigenze legate alle misure correlate, in quanto
l’elettronica che sta alla base del loro funzionamento non nasce per acquisire tutti i
segnali prodotti dall’esperimento. È nata quindi l’esigenza di sviluppare una nuova
elettronica che, oltre a gestire i canali necessari per l’acquisizione degli impulsi
di un rivelatore, deve essere in grado di acquisire simultaneamente altri segnali,
analogici o digitali, e compiere delle operazioni "logiche" per correlarli tra loro.
I dispositivi che consentono la misura di intervalli di tempo ad alte risoluzioni
vengono chiamati TDC (Time-to-Digital-Converter), e fino a poco tempo fa gli
unici oggetti commerciali disponibili in grado di garantire le prestazioni richieste
iii
INTRODUZIONE
(che verranno discusse ampiamente nel prosieguo di questa tesi) erano degli ASIC
(Application Specific Integrated Circuit) a quattro canali, che sono alla base del
funzionamento dell’elettronica dei rivelatori di Elettra. Sia il numero di canali, sia
le prestazioni in termini di risoluzione temporale ed efficienza li rendono poco
adeguati a misure correlate. Ciononostante, negli ultimi anni sono comunque
state possibili misure di coincidenza nelle quali vengono posti in parallelo due o
più sistemi di questo tipo, aumentando così il costo dell’apparato, l’ingombro e la
complessità del sistema, dovendo andare anche a sincronizzare in qualche modo
diversi dispositivi che sono del tutto indipendenti, per esempio sacrificando dei
canali, dedicandoli alla sincronizzazione.
Partendo da un confronto con dei fisici esperti nel settore delle misure di coin-
cidenza e dai loro suggerimenti, è stato quindi progettato e in parte già realizzato
e testato sul campo un nuovo strumento basato su dei nuovi TDC, che ha a dispo-
sizione fino a otto canali e che consente di raggiungere risoluzioni competitive
con lo stato dell’arte attuale del settore (risoluzione intorno alla decina di picose-
condi). La strada che si è deciso di intraprendere prevede di creare uno strumento
fortemente modulare in grado di ospitare due diversi tipi di TDC: uno basato su
un ASIC prodotto molto recentemente (un’evoluzione del precedente ASIC) ed un
altro che invece utilizza un approccio molto originale (studio condotto dal Prof.
Angelo Geraci presso il Politecnico di Milano[4][5], con cui ho collaborato nel
corso della tesi), che trasforma una FPGA (Field Programmable Gate Array) in un
TDC, sfruttando i ritardi di propagazione noti tra le porte logiche, con il vantaggio
di avere oltre a precisione di pochi picosecondi anche della logica disponibile per
fast processing. La modularità e la possibile interscambiabilità nascono dal fatto
che i due approcci hanno pro e contro (che verranno discussi) e, a seconda delle
prestazioni richieste, si vuole avere la possibilità di montare o uno o l’altro.
La prima parte di questo progetto, che è stata anche il principale argomento di
questa tesi, si è concentrata sui TDC del primo tipo, i TDC-GPX2 della AMS [6]:
come è stato detto, si tratta di recentissimi prodotti commerciali che rappresentano
lo stato dell’arte disponibile sul mercato e nelle fasi iniziali è stato studiato attenta-
mente il protocollo di controllo e di comunicazione di questi oggetti, che devono
essere gestiti tramite FPGA. Il fatto di voler realizzare una struttura modulare che
sia in grado di controllare sia questi dispositivi commerciali sia quelli frutto della
collaborazione con il PoliMi (TDC-FPGA) ha reso questa prima fase estremamente
iv
INTRODUZIONE
delicata: infatti in base a questi studi si potrà realizzare un codice, sul lato dei
TDC-FPGA, del tutto compatibile con quello di questi dispositivi commerciali. Per
questa prima parte di attività ci si è avvalsi inizialmente di una scheda sviluppa-
ta internamente con l’idea di familiarizzare con questi dispositivi commerciali,
comprendere pienamente la sintassi per il loro controllo ed avere qualcosa che con-
sentisse di fare delle prime misure di caratterizzazione sia su banco che sul campo.
Nonostante non sia il prodotto definitivo (ovvero la scheda inter-modulare di cui
si parlava) questa dà comunque la possibilità di creare e valutare un codice HDL
per controllare e gestire due diversi TDC-ASIC posti in parallelo sulla medesima
scheda, configuranti in questo modo un sistema ad otto canali (anche i nuovi ASIC
presentano solo quattro canali ciascuno). La parte iniziale dell’attività è quindi
consistita nel testare sia i dispositivi sia eventuali non idealità della scheda (questo
è risultato un punto molto importante che ci ha concesso di raccogliere molte
informazioni necessarie per lo sviluppo della scheda definitiva), nella scrittura di
codice HDL e di un’interfaccia di alto livello sviluppata in LabVIEW.
In seguito a questo primo lavoro di caratterizzazione e studio, partendo dalle
esigenze sperimentali delle linee di luce interessate a un utilizzo della scheda
negli esperimenti di correlazione temporale, è stato necessario progettare un
firmware che potesse da una parte essere compatibile con entrambi i TDC in esame
e dall’altra che fosse adeguato alle esigenze sperimentali in previsione di misure
di coincidenza da effettuarsi con luce di sincrotrone in un beamtime dedicato.
Nello specifico era richiesto che venissero mantenute alcune delle funzionalità
dei sistemi già esistenti e la piena compatibilità con l’elettronica che attualmente
controlla il rivelatore basato su TDC a quattro canali, ovvero il THR02-TDC del
Laboratorio strumentazione e Detector. Oltre a sviluppare il codice per comunicare
comandi e dati con entrambi i sistemi TDC, nella fase finale di questo lavoro ci
si è concentrati sulla realizzazione del nuovo circuito stampato che ospiterà due
TDC-GPX2 (quattro canali ciascuno) e che farà parte di un sistema più grande,
interfacciabile anche con il TDC basato su FPGA. Questa scheda è stata progettata
grazie alle numerose informazioni ottenute nel preliminare lavoro di studio del
comportamento della precedente scheda.
L’elaborato presenta cinque capitoli e di seguito ne viene presentata la struttura.
Nel primo capitolo verranno introdotte alcune delle esigenze del mondo spe-
rimentale che hanno richiesto la realizzazione di un sistema che svolga questo
v
INTRODUZIONE
particolare compito. Inoltre si descriverà un esperimento di coincidenza tenuto su
una linea di luce del Sincrotrone Elettra che ha contribuito alla decisione di alcuni
parametri di progetto.
Successivamente, al fine di rendere più comprensibili le caratteristiche che si
sono scelte per il sistema, come anche alcuni dei problemi che si sono verificati
durante lo studio di esso, verrà eseguita una panoramica dei principali sistemi
per conversione in tempo di eventi. Nel secondo capitolo quindi si analizzerà
in particolare l’attuale stato dell’arte di questi dispositivi, il loro principio di
funzionamento e i fattori che hanno portato a scegliere certe tipologie di TDC e
non altri.
Una volta terminata questa panoramica si entrerà nel vivo del lavoro svolto,
andando a caratterizzare il principale dispositivo sotto esame: il TDC-GPX2. Anche
in questo caso verranno esplicitate le sue principali caratteristiche, il sistema che
abbiamo usato per interfacciarci ad esso ed il setup per determinare la risoluzione
di questo circuito integrato.
Terminata la caratterizzazione, si passerà poi al quarto capitolo dove verranno
spiegate le procedure ed i risultati delle misure effettuate sia su banco, sia effettiva-
mente sul campo, ovvero sulla linea di luce CiPo (Circular Polarization Beamline)
del Sincrotrone. Verranno in particolar modo messe in luce le principali differenze
dei risultati conseguiti dai sistemi usati in precedenza rispetto a quelli ottenuti con
il nuovo sistema discusso in questo elaborato.
Per concludere, nel quinto capitolo ci sarà la descrizione dell’effettivo firmware
che verrà in futuro utilizzato dal sistema finale, i protocolli di comunicazione
utilizzati e la logica dietro il suo funzionamento. In questo stesso capitolo poi
verranno brevemente descritti il sistema finale e la progettazione del circuito
stampato che costituirà una parte fondamentale del sistema succitato.
vi
Capitolo 1
Misure risolte in tempo ed
energia
L’esigenza di riuscire a studiare le dinamiche di fenomeni chimico/fisici con preci-
sioni sempre maggiori ha richiesto, oltre alla realizzazione di sorgenti di luce in
grado di generare impulsi con durate inferiori al picosecondo, lo sviluppo strumenti
di acquisizione adeguati. Per comprendere meglio quali siano le specifiche neces-
sarie per questa classe di strumenti di acquisizione, argomento chiave di questo
elaborato, è necessario fare una breve panoramica sulle tecniche di acquisizione
relative a una particolare sezione di queste misure dove l’informazione temporale
relativa al succedersi degli eventi misurati è assolutamente necessaria, le misure
di coincidenza.
1.1 Misure di coincidenza
Le misure di coincidenza riguardano la caratterizzazione e le correlazioni delle
particelle emesse in seguito all’interazione tra radiazione e materia, particelle che
possono essere di svariata natura (elettroni, ioni, atomi o molecole, fotoni) ed
energia [7]. Un tipico esempio è quello relativo alla ionizzazione di molecole o
atomi in fase gassosa: in questi casi è necessario, per correlare gli eventi, conoscere
l’energia e il tempo di arrivo degli elettroni emessi e la massa degli ioni risultanti.
È inoltre evidente, in questi fenomeni di ionizzazione, che per poter caratterizzare
completamente un processo che produce più di due particelle, come ad esempio la
doppia ionizzazione o fenomeni di frammentazione, è necessario rivelare numeri
1
CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA
sempre maggiori di particelle, e riuscire a correlare tra loro i diversi prodotti è una
sfida tecnica e scientifica di grande difficoltà.
Solitamente, in questo tipo di esperimenti, il campione che si vuole andare ad
analizzare viene eccitato da una sorgente impulsata o continua di fotoni (talvolta
vengono utilizzate anche altre particelle, come ad esempio elettroni, ma l’utilizzo
di fotoni permette di creare impulsi di durata assai breve, ed inoltre è possibile
controllarne energia e polarizzazione con maggior precisione), che a seconda della
loro energia e delle caratteristiche del campione provocano una certa reazione,
ad esempio la ionizzazione del campione nel caso di stato gassoso. La natura
delle particelle che si vuole rivelare a partire da questa reazione è abbastanza
varia. Di norma vengono compiuti esperimenti dove vengono acquisite particelle
cariche quali elettroni o ioni che, grazie al fatto di essere cariche, possono essere
controllate attraverso campi elettrici o magnetici. Però sono spesso necessarie
anche informazioni relative a fotoni o atomi/molecole neutre. Vista questa grande
diversità delle particelle che talvolta è necessario rivelare sono di conseguenza
necessari rivelatori e metodi di acquisizione di natura molto diversa. Due tipologie
di esperimenti di coincidenza particolarmente rilevanti per questo elaborato sono
quelli relativi alla coincidenza elettrone-elettrone e alla coincidenza elettrone-ione,
i quali, in particolare nella fase gassosa, consentono di ottenere informazioni utili
e dettagliate.
1.1.1 Photoelectron-Photoelectron Coincidence
Il principale utilizzo degli esperimenti di coincidenza elettrone-elettrone (PEPECO)
è quello di indagare lo stato energetico di dicationi (cationi formati con la rimozione
di due elettroni) nell’istante di ionizzazione. È frequente l’utilizzo di questa tecnica
ormai consolidata anche per esperimenti ove si cerca di approfondire gli effetti
della correlazione tra gli elettroni durante la loro emissione. Infatti spesso per
descrivere la struttura elettronica di materia condensata viene utilizzato il modello
delle particelle indipendenti, il quale si basa su approssimazioni che vengono
costantemente messe in dubbio da alcuni effetti che si osservano con le sempre
più accurate spettroscopie di elettroni, le quali evidenziano effetti di correlazione
di più particelle [8].
Il termine PEPECO si riferisce più nel dettaglio all’analisi di elettroni la cui
energia si discosta da zero, tramite la quale si cerca anche di determinare come
viene distribuita l’energia in eccesso del fotone - rispetto alla soglia di doppia
ionizzazione - tra i due elettroni, in particolare se la ionizzazione è avvenuta come
2
CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA
single o multi-step. Inoltre questa tecnica si avvale anche dell’informazione relativa
agli angoli di emissione degli elettroni che consente di conoscere in profondità i
meccanismi di ionizzazione.
1.1.2 Photoelectron-Photoion Coincidence
La tecnica di coincidenza elettrone-ione (PEPICO) non è basata solo sulla spet-
troscopia di elettroni ma anche sulla spettrometria di massa degli ioni. Il fatto
di sfruttare entrambe queste misure e correlare i dati ottenuti da esse permette
di associare ad ogni ione la corrispondente energia di apparizione (e quindi dà
informazioni sui livelli energetici della molecola) oltre che conoscere le energie di
dissociazione. Infatti tramite questa tecnica si può osservare, oltre ai meccanismi
di reazione, anche i tassi di dissociazione o le energie degli ioni all’inizio della dis-
sociazione stessa. Di conseguenza costituisce un importante strumento di analisi
della struttura molecolare ed è in particolare la tecnica utilizzata nell’esperimento
sulla beamline dove il nostro prototipo è stato testato (Capitolo 5 di questa tesi).
Figura 1.1: Classico apparato per misure di coincidenza elettrone-ione
La massa (rapporto massa/carica dal quale si evince la massa) degli ioni solita-
mente è determinata attraverso la spettrometria di massa a tempo di volo mentre
l’energia degli elettroni può essere calcolata ad esempio usando un analizzatore
emisferico, all’estremità del quale viene montato un rivelatore adeguato come ad
esempio un cross delay anode (CDA), rivelatore che fornisce oltre all’informazione
energetica anche quella temporale (nonché quella relativa all’angolo di emissione,
quando necessario). In queste misure spesso il tempo di volo degli ioni viene
misurato a partire dal momento di impatto degli elettroni sul detector. La velocità
di questi ultimi infatti risulta essere diversi ordini di grandezza superiore a quello
delle particelle più massive e questo approccio consente di utilizzare sorgenti
3
CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA
continue di luce (dato che sono gli elettroni a dare l’avvio alla misura e non più gli
impulsi di luce), come ad esempio lampade a scarica di gas o sorgenti di luce di
sincrotrone che, sebbene impulsate, in questo tipo di esperimenti possono essere
considerate come continue viste le distanze temporali (circa 2 ns) tra i vari impulsi.
In Fig. 1.1 si può vedere un schema di un apparato per misure di coincidenza
PEPICO.
Figura 1.2: Spettro di massa degli ioni primari dell’esafluoruro di zolfo (SF6) ottenu-
to tramite interazione con fotoni a 50 eV (blu) e 100 eV (rosso) durante l’esperimento
su linea di sincrotrone. Sulle ascisse viene indicato il rapporto massa/carica degli
ioni osservati, sulle ordinate è presente il numero di conteggi normalizzato rispetto
ai conteggi del catione SF5. Il grafico verrà commentato più nel dettaglio alla fine
del Capitolo 4, nella sezione dei risultati sperimentali.
Per conoscere queste energie è possibile partire dall’energia ℎ𝜈 del fotone
responsabile della ionizzazione (in genere nelle sorgenti utilizzate l’intensità è tale
che la probabilità di avere ionizzazione da due fotoni è prossima a zero e quindi in
questa trattazione si considererà l’interazione del singolo fotone). Se tale energia è
minore della soglia di doppia ionizzazione e viene rivelato tramite l’analizzatore
un elettrone con energia 𝐸, l’energia assorbita dalla molecola/atomo sarà semplice-
mente ℎ𝜈 − 𝐸. Ora, se la minima energia di ionizzazione è 𝐼, lo ione si troverà in
uno stato eccitato di energia ℎ𝜈 − 𝐼 − 𝐸, e si potrà diseccitare o tramite emissione
di un fotone oppure, se l’energia è abbastanza alta, attraverso una dissociazione
dello ione (è anche possibile che si verifichi una redistribuzione di energia interna
4
CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA
allo ione molecolare facendolo passare da uno stato elettronicamente eccitato ad
uno stato fondamentale ma eccitato vibrazionalmente).
Figura 1.3: Schema esemplificativo dei processi osservati durante gli esperimenti
di coincidenza ione-elettrone su una molecola di metanolo (CH3OH).
Nel caso l’energia del fotone sia maggiore dell’energia di doppia ionizzazione
chiaramente bisognerebbe tenere conto delle energie 𝐸1 + 𝐸2 da entrambi gli
elettroni liberatisi. Come vedremo nel capitolo relativo all’esperimento tuttavia
questo è un caso abbastanza raro viste le energie fotoniche utilizzate, e riuscire
ad osservare entrambi gli elettroni risulta essere tecnicamente difficile senza un
apparato adatto a eseguire misure su più cariche.
Restando quindi nell’ipotesi di singola ionizzazione, durante un esperimento,
si aumenta l’energia della radiazione ionizzante andando in questo modo ad in-
crementare di conseguenza l’energia di eccitazione dello ione e si acquisisce per
ogni step di energia lo spettro di massa (Fig. 1.2). Fino a quando l’energia interna
rimane bassa, il catione non è in grado di dissociarsi e quindi lo spettro di massa
continua a presentare un picco all’ordinata corrispondente alla massa dello ione
stesso. Con l’aumentare dell’energia del fotone, sempre più ioni cominciano a
dissociarsi fino a quando viene raggiunta un’energia per cui i cationi si dissociano
tutti sistematicamente secondo la reazione 𝐴𝐵 + ℎ𝜈 → 𝐴+ + 𝐵 + 𝑒− (dove 𝐴𝐵
5
CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA
è la molecola mentre 𝐴+ e 𝐵 sono i prodotti della frammentazione del catione
𝐴𝐵+, Fig. 1.3). Andando poi a correlare gli spettri di massa con quelli dell’energia
cinetica degli elettroni rivelati si può quindi conoscere le energie ed i meccanismi
di dissociazione.
1.2 Setup sperimentale
Un altro aspetto che necessita di essere discusso, sempre col fine di avere una più
chiara idea dell’ambito in cui il lavoro è stato svolto, riguarda la strumentazione che
sulle beamline tipicamente consente di rivelare le particelle descritte nei paragrafi
precedenti.
Questo capitolo non ha la pretesa di presentare lo stato dell’arte della strumen-
tazione utilizzata negli esperimenti di spettrometria di massa, ma vuole solo offrire
una breve introduzione su rivelatori e altri apparecchi utilizzati in questo ambito.
1.2.1 Moltiplicatori di carica
Negli esperimenti il cui obiettivo è studiare la struttura e altre proprietà intrinseche
delle molecole è fondamentale essere in grado di rivelare le singole particelle emesse
dalle reazioni di ionizzazione e foto-dissociazione. Nessuna elettronica sarebbe
in grado di rilevare singoli elettroni o ioni dotati di energie così basse, quindi è
necessario un preliminare processo di moltiplicazione di carica per arrivare a valori
misurabili. Questo processo viene eseguito da dispositivi detti "moltiplicatori di
carica".
Questi si basano sul principio fisico dell’emissione secondaria che consiste
nell’emissione da parte di un materiale di "mediamente più di una" particella quando
un’altra particella con energia sufficiente impatta sulla superficie o attraversa
il materiale stesso (Fig. 1.4). Ogni elettrone, ione o anche fotone1 che entra
in un elettro-moltiplicatore provoca quindi una reazione a catena che produce
all’uscita dello strumento stesso una carica elettronica proporzionale al numero di
moltiplicazioni avvenute, permettendo quindi di rivelare più facilmente la particella.
Due esempi di amplificatori di carica sono i Channel Electron Multiplier (CEM,
detti anche "Channeltron") ed i Micro-Channel Plate (MCP) (Fig. 1.5).
1Gli ioni positivi ed i fotoni devono avere un’energia abbastanza elevata da poter essere convertiti
in elettroni. Solo questi infatti vengono moltiplicati
6
CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA
Figura 1.4: Illustrazione del fenomeno di emissione secondaria sulla base del quale
sono costruiti gli elettro-moltiplicatori.
(a) (b)
Figura 1.5: a) CEM b) Ingrandimento al microscopio di un MCP.
I primi sono solitamente costituiti da tubi in vetro (o materiali ceramici) coperti
da un materiale resistivo grazie al quale è possibile generare una caduta di poten-
ziale che accelera gli elettroni verso il rivelatore. La caratteristica forma ricurva
di questi oggetti è necessaria per evitare che ioni accelerati in questo canale non
vadano a colpire le pareti del moltiplicatore generando una nube di elettroni non
legata ad una particella entrante ma comunque indistinguibile da un evento reale
(ion-feedback). La principale limitazione dei CEM è l’ingombro, infatti a causa
delle loro dimensioni viene limitato il numero massimo di canali, perdendo di
conseguenza l’informazione spaziale (Fig. 1.6).
Per essere in grado di acquisire anche le informazioni spaziali che, come
vederemo tra poco, sono utili per ricavare l’energia cinetica degli elettroni, vengono
7
CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA
Figura 1.6: Sezione e principio di funzionamento di un MCP[9].
Figura 1.7: Esempio delle varie configurazioni di MCP.
utilizzati gli MCP. Questi sono dei componenti piatti di varie forme e dimensioni
che, a differenza dei CEM, presentano molti micro-canali separati (il diametro
di ogni micro-canale è di pochi micron) e permettono quindi di preservare le
informazioni spaziali. Un solo MCP è in grado di raggiungere guadagni di 30-
40 dB (10,000 volte tanti elettroni). Un amplificazione del genere tipicamente
non è sufficiente per permettere di visualizzare correttamente un impulso e per
questo motivo solitamente vengono usati anche due (Chevron assembly) o tre
(Z-Stack assembly) MCP in serie. Inoltre, per aumentare ulteriormente il guadagno
e prevenire il fenomeno dello ion-feedback, spesso i canali non vengono creati
perpendicolarmente al piano di incidenza ma sono inclinati e, in caso di più MCP
in serie, si fa in modo che i canali dei diversi MCP creino un percorso a zig-zag,
come si può vedere in Fig. 1.7.
8
CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA
1.2.2 Analizzatore elettronico e rivelatori bidimensionali
Figura 1.8: Analizzatore emisferico a deflessione elettrostatica.
Per essere in grado di determinare con una buona risoluzione l’energia degli
elettroni fotoemessi vengono utilizzati dei dispositivi chiamati analizzatori elettro-
nici, e tra questi l’analizzatore emisferico a deflessione elettrostatica (in inglese
hemispherical electron energy analyzer, Fig. 1.8) è il più utilizzato. Questi com-
plessi strumenti sono costituiti da due elettrodi concentrici semisferici con diversi
raggi. Su tali elettrodi vengono applicate delle tensioni in maniera tale da separare
gli elettroni in base alla loro energia cinetica. A seconda delle tensioni applicate
sui due elettrodi si va a modificare le energie degli elettroni che raggiungono il
detector posto alla fine del percorso ellittico. Più precisamente, se chiamiamo 𝑅1
e 𝑅2 i raggi dei due elettrodi (rispettivamente interno ed esterno), andando ad
applicare su questi una differenza di tensione pari a Δ𝑉 = 𝑉2 − 𝑉1 otterremo un
campo elettrico radiale con centro quello delle semisfere e di intensità:
|𝐸(𝑟)| = −
Δ𝑉
𝑅2 − 𝑅2
·
𝑅1𝑅2
𝑟2
Questo campo elettrico andrà a modificare le traiettorie degli elettroni e questi
andranno ad incidere sulla parte opposta dell’analizzatore. L’esatto punto di
9
CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA
incidenza dipenderà, oltre che dall’energia cinetica degli elettroni e dal campo
elettrico, anche da altri fattori come ad esempio l’angolo di ingresso degli elettroni.
All’uscita di un analizzatore poi verranno usati dei moltiplicatori di carica (se
vogliamo mantenere le informazioni spaziali bisogna usare per forza un MCP) per
riuscire ad osservare gli elettroni.
Figura 1.9: Cross Delay Anode.
Una volta separati gli elettroni in base alla loro energia cinetica e moltiplicati
con un MCP, bisogna poi capire, andando a vedere il punto di impatto, qual è la
loro energia cinetica. Ci sono un certo numero di approcci per determinare la
posizione di questi elettroni. Uno dei due approcci più comuni prevede l’utilizzo di
uno schermo di fosforo accoppiato ad un charged-coupled device (CCD). Sebbene
questo approccio sia molto comune, non consente misure risolte in tempo ed è
quindi non utilizzabile nelle misure qui discusse.
Un’altra tecnologia che si sta sempre più consolidando tra i rivelatori bidimen-
sionali è quella delle cosiddette Delay Line, ovvero rivelatori basati su linee di
ritardo, un esempio dei quali si può vedere in Fig. 1.9. Sfruttando la nota velocità
di propagazione di un impulso su queste linee è possibile determinare, attraverso
opportuna strumentazione, non solo le coordinate di impatto degli elettroni, ma
10
CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA
Figura 1.10: Single dimension Delay Line.
anche il tempo esatto in cui esso è avvenuto. Per dare un’idea di come ciò avvenga,
prendiamo in considerazione la linea di ritardo su una dimensione in Fig. 1.10.
Supponendo che la linea sia ideale, quindi la velocità di propagazione sia uniforme
su tutta la sua superficie e prendendola velocità di propagazione dell’impulso 𝑣0, la
lunghezza della linea 𝐿 e il tempo assoluto di arrivo ai due estremi 𝑡𝑠𝑥,𝑡𝑑𝑥 , avremo
che:
• il tempo𝑇 che un impulso impiega ad attraversare la linea da un capo all’altro
è 𝐿/𝑣0.
• 𝑣0 (𝑡𝑠𝑥 − 𝑡𝑑𝑥 ) è la distanza che l’impulso ha dovuto percorrere in più per
arrivare all’estremo sinistro rispetto a quello destro (e.g. se un impulso parte
dall’estremo a destra, 𝑡𝑠𝑥 = 𝑇 e 𝑡𝑑𝑥 = 0, e di conseguenza la lunghezza che
l’impulso ha dovuto percorrere in più per arrivare a sinistra sarà chiaramente
l’intera lunghezza della linea 𝐿).
• l’ascissa di arrivo dell’impulso sarà 𝑥0 =
(𝐿 + 𝑣0 (𝑡𝑠𝑥 − 𝑡𝑑𝑥 ))
2
.
• il tempo di arrivo è 𝑡0 =
𝑡𝑠𝑥 + 𝑡𝑑𝑥 −𝑇
2
.
1.2.3 Analizzatore a tempo di volo
L’ultimo strumento che viene discusso in questo capitolo è l’analizzatore a tempo
di volo (Time-Of-Flight mass spectrometer, TOFMS, Fig. 1.11). Questo strumento
viene usato principalmente nella spettrometria di massa in quanto consente di
calcolare il rapporto massa/carica degli ioni tramite una misura del tempo di
11
CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA
Figura 1.11: Time of flight mass spectrometer.
volo. Per fare ciò, gli ioni dei quali si vuole conoscere questo rapporto vengono
accelerati tramite un campo elettrico di intensità nota la cui forza applicata sugli
ioni è direttamente proporzionale alla loro carica totale e l’accelerazione finale è
inversamente proporzionale alla massa degli stessi. Il TOF viene misurato a partire
dal momento in cui gli ioni vengono creati e termina quando questi impattano su
un rivelatore (anche in questo caso viene usato un MCP) posto ad una distanza
nota.
Esistono diverse tipologie di analizzatori a tempo di volo. Un possibile ap-
proccio consiste nel suddividere lo strumento in due regioni, una di accelerazione
dove appunto viene imposto il campo elettrico, e una regione priva di campo di
lunghezza 𝐿 chiamata regione di deriva (Drift-Region). Dal momento che in questa
regione non viene applicato nessun campo, la velocità degli ioni 𝑣 sarà pari alla
velocità di uscita dalla regione di accelerazione ed essendo costante può essere
calcolata come 𝐿/𝑡, dove 𝑡 è il tempo di volo relativo alla sola parte di drift.
A partire quindi da questo, eguagliando l’energia iniziale dello ione di carica
𝑞 dovuta al potenziale 𝑈 del campo elettrico 𝐸𝑝 = 𝑞𝑈 con l’energia cinetica
𝐸𝑘 =
1
2
𝑚𝑣2 ed andando a sostituire il valore della velocità trovato avremo:
𝑞𝑈 =
1
2
𝑚
𝐿
𝑡
2
Il rapporto massa su carica sarà quindi:
𝑚
𝑞
= 2𝑈
𝑡
𝐿
2
La relazione tra il rapporto massa-carica e tempo ricavata in questo modo
chiaramente rappresenta un’approssimazione dal momento che non viene preso
in considerazione il tempo trascorso nella zona di accelerazione. Inoltre in questi
12
CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA
calcoli non vengono considerati nè la posizione, nè la velocità delle particelle
nell’istante in cui avviene la ionizzazione, fattori la cui trattazione esula dagli scopi
di questo elaborato.
13
Capitolo 2
Time to Digital Converter
I Time-to-Digital-Converter, in breve TDC, sono strumenti elettronici digitali uti-
lizzati per dare informazioni temporali sugli eventi rilevati con una precisione
che, allo stato dell’arte attuale in tale settore, raggiunge i pochi picosecondi. Ori-
ginariamente questi dispositivi sono stati progettati con la finalità di misurare
l’intervallo di tempo 𝑡𝑚𝑒𝑎𝑠 che intercorre tra due eventi (come ad esempio l’arrivo
di particelle su un rivelatore), i quali vengono chiamati rispettivamente START e
STOP (illustrato in Fig. 2.1).
Figura 2.1: Principio della misura per intervalli temporali
Col passare degli anni, oltre a migliorare le prestazioni ed aumentare il numero
di canali, sui dispositivi di nuova generazione sono anche state implementate nuove
modalità di funzionamento, andando a semplificarne la struttura e offrendo una
maggior flessibilità nella gestione dei dati. In questo capitolo verranno presentate
le principali tipologie di TDC assieme ad alcuni esempi classici di funzionamento.
Dato che la misura di un intervallo temporale può fornire anche una misura
indiretta di altre grandezze, come ad esempio distanze, i TDC risultano essere
strumenti le cui applicazioni spaziano diversi ambiti: come già è stato introdotto
nel capitolo precedente, vengono utilizzati per esperimenti di spettrometria di
14
CAPITOLO 2. TIME TO DIGITAL CONVERTER
massa a tempo di volo o anche, in combinazione con dei rivelatori a cross delay line
(CDL), per ottenere immagini bidimensionali - preservando anche l’informazione
temporale - dell’impatto degli elettroni; al di fuori di applicazioni inerenti pretta-
mente al mondo della ricerca tali dispositivi possono essere utilizzati ad esempio
nei sistemi LIDAR (Laser Imaging detection and Ranging) per misurare distanze e
concentrazioni di specie chimiche nell’atmosfera [10] oppure per creare sistemi
di clock controllabili (GPS clock o GPS disciplined oscillator, GPSDO) per satelliti
[11].
2.1 TDC basati su contatori
I sistemi di conversione tempo-digitale più semplici sono costituiti da contatori che
vengono incrementati a ogni colpo (rising-edge o falling-edge) di un clock. Con
questi TDC "essenziali", l’esito di una misura temporale è un numero intero dato che
la quantizzazione è determinata dal periodo del clock. Per misurare singoli eventi,
il contatore viene lasciato libero di incrementare e il suo valore verrà registrato
al presentarsi di un evento. L’intervallo tra due eventi sarà chiaramente dato
dalla differenza dei tempi di arrivo dei due eventi. In alternativa, per misurare un
intervallo di tempo tra due eventi consecutivi senza compiere alcuna sottrazione è
possibile tenere a zero il contatore fino all’arrivo del primo evento e poi registrare
il suo valore all’arrivo del secondo.
Per garantire migliori prestazioni in termini di accuratezza anche su intervalli
di tempo più lunghi, il segnale di clock solitamente viene generato da un oscilla-
tore a cristallo. La semplicità di questo metodo, unito al fatto che gli oscillatori
utilizzati solitamente, anche usando phase-locked loop (PLL) per aumentarne la
frequenza, non riescono a raggiungere le decine di GHz implicano che difficilmente
la risoluzione di tali strumenti possa essere migliore del nanosecondo.
Andando ad aumentare la frequenza è necessario modificare la struttura del
contatore: i classici contatori binari potrebbero infatti risultare troppo lenti a
causa del riporto. Per ovviare questo problema ci sono due possibili soluzioni:
implementare un contatore veloce come ad esempio un Carry-lookahead Adder
(CLA) oppure adottando un approccio ibrido, dove un contatore veloce (sempre
CLA oppure un contatore Johnson in Fig. 2.2) viene utilizzato come prescaler
per contare i bit meno significativi e un contatore classico tiene conto dei bit più
significativi.
15
CAPITOLO 2. TIME TO DIGITAL CONVERTER
Figura 2.2: Configurazione di un contatore Johnson a 4 bit.
Anche implementando contatori veloci in tecnologia CMOS la velocità di
commutazione è determinata dalla capacità tra il pin di gate ed il canale e dalla
resistenze, sia del canale, sia delle tracce. Per questo motivo i contatori non
vengono utilizzati per misure dove è richiesta un’elevata risoluzione, ovvero solo
per misure di tempo cosiddetto grezzo (o 𝑐𝑜𝑎𝑟𝑠𝑒). Il vantaggio di questi contatori
consiste nell’essere in grado di misurare anche per lunghi periodi senza andare a
complicarne l’architettura.
2.2 TDC Analogici
La prima tipologia di strumenti e/o tecniche più sofisticate che sono usate tradizio-
nalmente e che verranno esposte sono i Time-to Amplitude Converter (anche Time
to Analog Converter, TAC). Come si può capire dal loro nome, questi strumenti
non eseguono una conversione nel dominio digitale ma convertono gli intervalli
temporali in altre grandezze facilmente misurabili con grande accuratezza, le quali
verranno poi digitalizzate. Solo inserendo poi un convertitore analogico digitale
(ADC) e scalando opportunamente il risultato è possibile ottenere una misura
accurata del tempo, tuttavia gli intervalli misurabili con questa tecnica solitamente
non vanno oltre i 200 ns[12].
Per ottenere risoluzioni migliori rispetto a quelle dei contatori, andando quindi
oltre il limite dell’oscillatore di riferimento, si possono usare circuiti di interpolazio-
ne lineare, ovvero circuiti dove si sfrutta una grandezza che aumenta linearmente.
I principali metodi di conversione tempo-analogico sfruttano un integratore di cari-
ca (circuito RC, nelle Figure successive rappresentato dai blocchi 1/𝑠) alimentato da
una corrente costante durante l’intervallo che si vuole misurare e vengono chiamati
16
CAPITOLO 2. TIME TO DIGITAL CONVERTER
Figura 2.3: Schema circuitale e diagramma di un TAC a singola rampa.
a singola o doppia rampa (quest’ultimo è anche detto metodo "𝑡𝑖𝑚𝑒-𝑠𝑡𝑟𝑒𝑐ℎ𝑖𝑛𝑔").
2.2.1 TDC a singola rampa
Nonostante sia meno elaborato, il metodo a singola rampa (Fig. 2.3) è già in
grado di garantire risoluzioni molto più elevate rispetto ai contatori digitali. Prima
della misura il condensatore viene scaricato a 0V e successivamente, all’arrivo
dell’impulso sul canale di START, una corrente di intensità costante 𝐼1 viene fatta
scorrere attraverso di esso e va ad aumentare linearmente la tensione ai suoi capi
(questo aumento di tensione rappresenta la cosiddetta rampa "veloce"). La corrente
viene mantenuta fino all’arrivo dell’impulso di STOP e quindi la tensione finale
che si va ad accumulare ai capi del condensatore è proporzionale all’intervallo
temporale da misurare. Questa tensione viene poi convertita tramite un ADC.
17
CAPITOLO 2. TIME TO DIGITAL CONVERTER
Figura 2.4: Schema di un circuito di conversione tempo-analogico 𝑡𝑖𝑚𝑒-
𝑠𝑡𝑟𝑒𝑡𝑐ℎ𝑖𝑛𝑔[13].
Figura 2.5: Diagramma temporale dei segnali di un TAC a doppia rampa.
2.2.2 TDC Time Stretching
L’approccio a doppia rampa incorpora il convertitore A/D nel sistema tramite un
secondo integratore (sempre un circuito RC) che viene attivato all’arrivo dell’im-
18
CAPITOLO 2. TIME TO DIGITAL CONVERTER
pulso di STOP. Il termine 𝑡𝑖𝑚𝑒-𝑠𝑡𝑟𝑒𝑐ℎ𝑖𝑛𝑔 deriva dal fatto che questo integratore è
progettato per avere una costante di integrazione ridotta (ad esempio prendendo un
condensatore di capacità maggiore o una sorgente di corrente minore) e quindi la
rampa di discesa risulta essere molto più "lenta" rispetto alla prima. Se chiamiamo
𝑀 il rapporto delle due capacità 𝐶2/𝐶1 e 𝑁 il rapporto delle correnti 𝐼2/𝐼1 avremo
che l’intervallo temporale che inizialmente aveva caricato 𝐶1 viene allungato di un
fattore (1 + 𝑀𝑁). Con un fattore di amplificazione abbastanza elevato è possibile
misurare il secondo tempo utilizzando un contatore. Rispetto al metodo a singola
rampa questo è molto più preciso e robusto a fronte di perturbazioni in quanto non
necessita di calibrazione (con un solo condensatore bisogna conoscere la capacità
esatta del condensatore e della corrente).
Sebbene questo strumento permetta di ottenere risoluzioni nell’ordine dei
picosecondi, la durata del secondo intervallo temporale Δ𝑇2 può risultare troppo
lungo per molte applicazioni dove si ha un’elevato numero di conteggi al secondo.
Un altro importante limite dei sistemi che ricorrono prima alla conversione in
una grandezza analogica consiste nel fatto che questi risultano di più difficile
integrazione. I circuiti digitali presentano diversi vantaggi se comparati con i
circuiti analogici: nel mondo digitale è possibile realizzare circuiti di dimensioni
estremamente ridotte e al contempo semplici che svolgono funzioni base. Da questi
è possibile implementare in maniera compatta ed economica sistemi di signal pro-
cessing anche molto complessi. Ciò, a causa di problemi di area, potenza dissipata
e integrità del segnale, non è possibile nella controparte analogica. Inoltre i sistemi
analogici sono molto più sensibili a disturbi dovuti al rumore ed interferenze.
2.3 TDC Digitali
Per queste e altre motivazioni, negli ultimi anni si è vista una forte migrazione
dai TDC di "prima-generazione" ovvero quelli appena visti con tutti i problemi
legati all’ambito analogico, ai TDC di "nuova-generazione". Quest’ultimi, anche
se solo negli ultimi tempi hanno raggiunto risoluzioni comparabili con i modelli
precedenti[14], solitamente possono essere realizzati in due modi: o tramite ASIC,
quindi con prodotti specializzati e con limitate funzionalità aggiuntiva, o diretta-
mente su FPGA, con l’opportunità di poter programmare direttamente le funzioni
di elaborazione dati, rendendo l’intero sistema estremamente flessibile. È possibile
19
CAPITOLO 2. TIME TO DIGITAL CONVERTER
realizzare un sistema TDC con metodi diversi a seconda della piattaforma (ASIC o
FPGA). In questo elaborato verranno presentati solo i principali metodi.
2.3.1 Tapped-Delay-Line
Per essere in grado di eseguire misure con risoluzioni più alte del periodo di
riferimento è necessario suddividere ulteriormente ogni ciclo di clock. Questo
può essere realizzato ad esempio facendo entrare il segnale di START in una serie
di buffer in cascata, ciascuno con un certo ritardo costante (in alcune varianti il
segnale ritardato è quello del clock stesso). In questo modo vengono generate delle
copie del segnale di START con ritardi noti rispetto al segnale originale (ritardi
più brevi di un periodo di clock) che entrano poi in celle di memoria ad esempio
latch o D flip-flop. Questi segnali vengono poi campionati all’arrivo del segnale di
STOP. Questo tipo di architettura prende il nome di Tapped Delay Line.
Figura 2.6: Implementazione di una Tapped-Delay-line.
In Fig. 2.6 si può vedere un esempio di tapped-delay-line dove ciascun buffer
ha un ritardo 𝜏 (chiaramente si tratta di un caso ideale). In questo caso il segnale di
START si propaga lungo la serie di buffer fino all’arrivo dello STOP, il quale blocca
la propagazione del segnale e fissa lo stato di tutte le celle di memoria. Questo
metodo genera un codice a termometro in quanto tutte le celle già sorpassate
hanno un valore logico alto, mentre quelle alle quali non è ancora giunto il segnale
avranno valore logico basso. Il numero 𝑁 di celle di memoria con uscita alta ci da
un’indicazione dell’intervallo di tempo che si vuole misurare Δ𝑇:
Δ𝑇 = 𝑁𝜏 + 𝜖
20
CAPITOLO 2. TIME TO DIGITAL CONVERTER
dove 𝜖 è l’errore di quantizzazione dovuto al fatto che le celle di memoria non
possono assumere valori intermedi.
Figura 2.7: Implementazione di una Tapped-Delay-line con segnale differenziale.
Questo errore può essere diminuito sostituendo ai buffer un semplice inverti-
tore CMOS. Tale soluzione, sebbene sia in grado di raddoppiare la risoluzione del
TDC (in quanto uno stadio di buffer è formato da due invertitori in serie), com-
porta al contempo diverse complicazioni a causa della caratteristica di transizione
asimmetrica nei casi di inversione alto-basso o basso-alto generata dalle diverse
procedure di realizzazione della parte PMOS ed NMOS e da eventuali variazioni di
processo che rendono non lineari le transcaratteristiche. Per ovviare a questo pro-
blema vengono utilizzate due catene di invertitori che propagano rispettivamente
i segnali di START ed il suo negato e una serie di flip-flop differenziali, come si
vede in Fig. 2.7. La asimmetria nella caratteristica degli invertitori viene quindi
compensata andando a scambiare i segnali in ingresso ai flip-flop in posizione pari
(ciononostante potrebbe comunque presentarsi asimmetria nella propagazione dei
due segnali).
La principale complicazione dei design a tapped-delay-line consiste nel fatto
che il segnale di STOP deve pilotare un alto numero di flip-flop, e per questo
è richiesto un buffer-tree che contribuisce alla non omogeneità dei ritardi tra i
vari stadi di propagazione. Inoltre per la modalità basata su invertitori, ulteriore
attenzione va posta durante la progettazione per creare un sistema quanto più
simmetrico possibile.
21
CAPITOLO 2. TIME TO DIGITAL CONVERTER
2.3.2 Vernier TDC
Figura 2.8: Implementazione di un TDC Vernier.
L’ultima tecnica che viene discussa in questo elaborato può essere vista co-
me una combinazione tra le tapped-delay-line e gli interpolatori time-stretching.
Tramite questo metodo, chiamato metodo (o interpolatore) Vernier, è possibile
misurare intervalli (in teoria) arbitrariamente piccoli, superando i limiti dei ritardi
intrinseci nella tecnologia CMOS. Questo metodo fa uso di due linee di ritardo,
una usata per il segnale di START e una per quello di STOP, dove però i ritardi di
propagazione dei buffer di queste due linee, rispettivamente 𝑡𝑑1 e 𝑡𝑑2, sono diversi,
in particolare 𝑡𝑑1 è leggermente maggiore rispetto a 𝑡𝑑2.
Figura 2.9: Principio di funzionamento di un TDC Vernier basato su linee di
ritardo[13].
La risoluzione che si è in grado di raggiungere quindi risulta essere pari a
𝛿𝑡 = 𝑡𝑑1 − 𝑡𝑑2. Durante la misura di un intervallo, all’arrivo del segnale di START,
22
CAPITOLO 2. TIME TO DIGITAL CONVERTER
questo comincia a propagarsi lungo la prima linea di ritardo. Successivamente
anche il segnale di STOP comincia a propagarsi con una velocità maggiore (ad
ogni stadio la distanza tra la propagazione dei due segnali diminuisce di 𝛿𝑡). Il
secondo impulso si avvicina ad ogni stadio al primo fino ad arrivare ad un punto
dove i due saranno in fase. Contando poi il numero 𝑁 di stadi passati, ovvero
quelli precedenti allo stadio dove i segnali di START e STOP sono in fase, possibile
ricostruire l’intervallo desiderato come
Δ𝑇 = 𝑁 (𝑡𝑑1 − 𝑡𝑑2)
La risoluzione di questo metodo, come accennato, non dipende dai ritardi di
gate ma dalla differenza tra due di questi ritardi, e per questo motivo può essere reso
arbitrariamente piccolo, permettendo di andare oltre ai limiti fisici della famiglia
logica con cui è implementato. Fissato l’intervallo massimo che si desidera misurare
Δ𝑇𝑚𝑎𝑥 , è possibile ricavare il numero 𝑁 di stadi richiesti:
𝑁 =
Δ𝑇𝑚𝑎𝑥
𝛿𝑡
=
Δ𝑇𝑚𝑎𝑥
𝑡𝑑1 − 𝑡𝑑2
L’aumento degli stadi tuttavia comporta un conseguente aumento dell’area
occupata (due buffer ed un flip-flop, senza considerare la logica di controllo) e
anche la potenza dissipata.
2.4 Confronto
Esistono anche altre tipologie di tecniche che possono essere sfruttate per ottenere
sistemi TDC ad alta precisione o con ampio range dinamico che tuttavia non
verranno trattate (o perché basate su principi simili a quelli già visti, o perché
la loro discussione richiederebbe una trattazione a parte). Come già accennato e
come vedremo anche nel capitolo successivo, è anche possibile sfruttare diversi
metodi in combinazione tra loro per ottenere sistemi ibridi in grado di misurare
con elevate risoluzioni intervalli temporali consistenti. Per le tecniche appena
discusse invece viene di seguito riportata una tabella che ne riassume le principali
caratteristiche.
23
CAPITOLO 2. TIME TO DIGITAL CONVERTER
Contatore Time-
stretching
TDL con in-
vertitori
Vernier
Risoluzione Bassa Alta Media Alta
Integrabilità Semplice Difficile Media Media
Tempo di la-
tenza
Nullo Alto Basso Medio
Tempo di
conversione
Basso Alto Basso Medio
Tabella 2.1: Tabella riassuntiva di possibili tecnologie per TDC.
24
Capitolo 3
GPX2
Dopo aver introdotto le principali modalità di funzionamento dei sistemi di con-
versione tempo-digitale, in questo capitolo verrà presentato uno di questi: il
TDC_GPX2 della AMS (ex ACAM [6]). Il TDC_GPX2, o semplicemente GPX2, è un
TDC a 4 canali in grado di misurare le informazioni temporali in termini di tempo
assoluto (non più relativo ad un evento di START esterno come nella versione
precedente) per ciascun canale. Questo dispositivo rappresenta un esempio di TDC
su ASIC che offre prestazioni di ottimo livello disponibile come prodotto commer-
ciale, ed il quale è stato il principale oggetto di studio per questo elaborato, nonché
il dispositivo utilizzato per l’esperimento finale. In questo capitolo ne verranno
discusse le modalità di funzionamento, assieme a protocolli di comunicazione ed
una prima caratterizzazione delle misure.
È importante far notare fin da subito che di questo strumento è noto solamente
il modo con cui vengono comunicate le informazioni temporali, non come queste
vengano ottenute (a parte considerazioni del tutto generali come quelle esposte
in precedenza), perciò è possibile incorrere in alcuni fenomeni dei quali si può
solamente ipotizzare la causa.
3.1 Panoramica
Per funzionare, il GPX2 necessita di un segnale di clock di riferimento, chiamato
appunto REFCLK, fornito dall’esterno, il quale viene utilizzato sia come riferimento
"grezzo" per gli impulsi di stop entranti da ciascun canale, sia come periodo interno
di riferimento (misurato internamente dal GPX2) usato per la ricostruzione del
tempo fine. Questo sistema è infatti un TDC ibrido che, grazie a questo utilizzo
25
CAPITOLO 3. GPX2
del clock di riferimento, garantisce alte risoluzioni su lunghi periodi di misura.
Nella Fig. 3.1, presa dal datasheet del componente, si possono vedere gli elementi
fondamentali usati per le misure temporali:
• REFID è un contatore di profondità configurabile fino a 24 bit che viene
incrementato a ogni colpo del REFCLK.
• tREF è il periodo di REFCLK misurato internamente dal TDC.
• tSTOP è la misura dell’intervallo di tempo trascorso dall’ultimo colpo di clock
all’arrivo di un impulso su un canale.
Figura 3.1: Principio di funzionamento del TDC_GPX2
La misura di ogni evento ha come riferimento l’ultimo colpo di REFCLK e, una
volta elaborato internamente, produce una coppia di valori, REFID e TSTOP, che
costituiscono rispettivamente il tempo coarse e fine. REFID è il valore dell’omonimo
contatore che viene registrato all’arrivo dell’evento, mentre TSTOP dipende dal
rapporto tra t𝑆𝑇𝑂𝑃 e t𝑅𝐸𝐹 e da un parametro chiamato REFCLK_DIVISIONS secondo
la seguente formula:
𝑇𝑆𝑇𝑂𝑃 =
𝑡𝑆𝑇𝑂𝑃
𝐿𝑆𝐵
=
𝑡𝑆𝑇𝑂𝑃
𝑡𝑅𝐸𝐹
· 𝑅𝐸𝐹𝐶𝐿𝐾_𝐷𝐼𝑉𝐼𝑆𝐼𝑂𝑁𝑆
Il valore di REFCLK_DIVISIONS può essere configurato arbitrariamente e
fondamentalmente rappresenta il numero di suddivisioni del periodo t𝑅𝐸𝐹 . Ogni
piccolo intervallo che si ottiene tramite questa suddivisione rappresenta quindi un
LSB e l’informazione temporale fine sarà un multiplo di questo LSB. Come si vedrà
anche in seguito, al fine di evitare che gli effetti di quantizzazione influenzino
troppo la misura, l’LSB deve essere di molto inferiore alla massima risoluzione
26
CAPITOLO 3. GPX2
del GPX2 (è consigliato configurarlo non sopra i 10 ps, idealmente pari a 1 ps).
Dalla Fig. 3.2 è possibile vedere alcune scelte classiche dei valori di REFCLK e
REFCLK_DIVISIONS in modo da ottenere LSB di 1, 5 o 10 picosecondi.
Figura 3.2: Tabella per il calcolo del LSB presa dal datasheet del componente.
Il GPX2 possiede anche delle modalità chiamate CHANNEL_COMBINATION
che consentono di calcolare direttamente la differenza di tempo tra gli eventi di
due canali fissati (o la larghezza di un impulso), riprendendo così il funzionamento
già visto di START e STOP. Il fatto di avere per ognuno dei 4 canali il tempo
assoluto però consente di preservare più informazioni e quindi garantisce una
maggiore flessibilità per quanto riguarda il calcolo di altre eventuali misure (usando
la modalità succitata è possibile "correlare" solamente due canali alla volta). Per
questo motivo tale modalità non viene utilizzata/discussa.
Una funzionalità che invece è stata ampiamente sfruttata è la cosiddetta HI-
GH_RESOLUTION. Questa modalità consente di ottenere risoluzioni migliori
ritardando internamente i segnali in ingresso e campionandoli nuovamente fi-
no ad un massimo di quattro volte, inserendoli ad ogni nuovo campionamento
all’interno di una FIFO. Successivamente sui campioni relativi ad uno stesso even-
to viene calcolata la media dando un unico risultato con maggiore precisione.
L’aspetto negativo delle modalità HIGH_RES (RESx2, RESx4) è che, a causa del
ri-campionamento e delle operazioni più complesse che deve compiere il GPX2,
aumentano sia i tempi di conversione sia la minima distanza accettabile tra due
impulsi consecutivi su uno stesso canale (fino a 100 ns nella modalità con riso-
luzione più alta). Tuttavia, nonostante queste limitazioni, questa modalità rende
questo integrato molto flessibile poiché permette di scegliere la risoluzione anche
in base alla frequenza con cui si verificano degli eventi sui 4 canali: se è noto che
la frequenza degli eventi generati durante un esperimento è bassa, si può utilizzare
la modalità RESx4, mentre se sono richiesti conteggi molto più alti (nell’ordine dei
milioni), si può far gioco sulle modalità RESx2 o RESx1.
27
CAPITOLO 3. GPX2
3.2 Configurazione e protocolli di comunicazione
Sul GPX2 sono presenti diversi pin per la ricezione e trasmissione di dati/comandi.
Figura 3.3: Schema interno del TDC_GPX2
• I pin STOP1-4 (a sinistra in Fig. 3.3) rappresentano gli ingressi dei segnali
da "cronometrare" e possono essere pilotati tramite livelli LVDS, oppure,
modificando opportune configurazioni, in CMOS.
• I pin di output FRAME e SDO (Serial Data Out) da 1 a 4 (a destra in Fig.
3.3) sono pilotati da un buffer LVDS e vengono sincronizzati con l’uscita
LCLKOUT (che viene a sua volta preso dai pin LCLKIN).
28
CAPITOLO 3. GPX2
• Per comunicare comandi con il GPX2 viene utilizzata un’interfaccia SPI
(SCK, MISO, MOSI e SSn più pin di Parity e Interrupt per funzionalità extra,
in basso in Fig. 3.3).
• Gli altri pin vengono usati per abilitare/disabilitare le uscite, come segnali
di clock o per distribuire potenza.
(a)
(b)
Figura 3.4: a) Single Data Rate mode operation b)Double Data Rate mode operation
Una volta calcolata l’informazione temporale in termini di REFID e TSTOP,
questa viene inviata tramite LVDS dalla coppia di output SDOx e FRAMEx relativa
al canale STOPx che ha registrato l’evento. Il pin FRAME rappresenta un flag che
viene alzato per indicare l’invio del primo byte di informazione. È possibile inviare
i dati una volta per periodo di clock, in corrispondenza del rising edge (Single
Data Rate, SDR) o in corrispondenza di rising e falling edge (Double Data Rate,
DDR). Nel primo caso, come si evince dalla Fig. 3.4, il pin FRAME relativo ad un
canale rimane alto per otto interi periodi di clock LCKIN, mentre nel secondo caso
solo per quattro. Il numero totale di bit di informazione è configurabile sia per
la parte coarse (da 2 fino ad un massimo di 24 bit), sia per la parte fine (14, 16,
18 o 20 bit) e tali numeri, assieme alla frequenza del clock per l’interfaccia LVDS
determineranno il massimo throughput ottenibile. Questo può essere espresso con
l’unità di "milioni di campioni per secondo" e si ottiene dividendo la frequenza
29
CAPITOLO 3. GPX2
di LCLKIN (in questo caso 200 MHz) per il numero di bit totali generati per ogni
evento (questo numero va raddoppiato nel caso si lavori in DDR). In Fig. 3.5 si
possono vedere alcuni valori del throughput massimo calcolato in base a LCLKIN
e i bit totali di TSTOP e REFID.
Figura 3.5: Tabella di esempio per il calcolo del massimo throughput nel caso di
comunicazione SDR o DDR.
I registri per la configurazione del GPX2 sono organizzati in 17 indirizzi di
un byte ciascuno e possono essere modificati attraverso una classica interfaccia
SPI con CPHA = 1 e CPOL = 0. Su questa interfaccia sono implementati cinque
comandi:
• Opcode 0x30: eseguire un reset allo stato di power on (POR);
• Opcode 0x80: modificare i registri di configurazione;
• Opcode 0x60: leggere i registri di configurazione;
• Opcode 0x40: leggere i dati;
• Opcode 0x18: cominciare la misura.
3.3 Apparato iniziale
Prima di progettare la scheda che fa parte del sistema finale è stato necessario
assicurarsi che le specifiche descritte sul datasheet del componente venissero
rispettate e soprattutto che fossero adeguate per esperimenti di coincidenza. Con
questo fine è stata progettata una PCB (Fig. 3.6) che permette di far operare due
GPX2 sincronizzati tra loro in parallelo, creando quindi un sistema in grado di
30
CAPITOLO 3. GPX2
ricevere eventi da otto diversi canali. Ciascuno di questi canali entra come un
segnale differenziale attraverso una coppia di connettori Hirose U.FL direttamente
all’interno dei GPX2 (nel caso di segnali single ended, questi vengono prima fatti
passare per una scheda intermedia che esegue la conversione da livello logico
LVTTL a LVDS). Per la sincronizzazione invece entrambi i GPX2 fanno uso dello
stesso REFCLK generato da un oscillatore a cristallo a 10 MHz e diviso tramite un
clock buffer con due uscite LVDS.
Figura 3.6: PCB per valutare il funzionamento di due GPX2 in parallelo.
Per quanto riguarda la comunicazione, questa scheda è dotata di un connettore
HSMC (High Speed Mezzanine Card) ed un modulo SFP (Small Form-factor Plugga-
ble transceiver) al quale è collegato un adattatore Ethernet. Tramite il connettore
HSMC viene collegata un’FPGA della Altera (modello CYCLONE V GX, montata
su una scheda di valutazione della TerASIC) la quale gestisce la comunicazione con
i TDC (sia dati sia comandi tramite SPI) e con altri eventuali dispositivi, sempre
prima attraverso il connettore HSMC e successivamente via cavo Ethernet. In Fig.
3.7 è possibile vedere uno schema a blocchi semplificato che rappresenta i diversi
bus attraverso i quali avviene la trasmissione dati.
Oltre agli strumenti appena descritti, in questa fase sono anche stati utilizzi un
alimentatore che fornisce la tensione di alimentazione per la schedina di conversio-
31
CAPITOLO 3. GPX2
Figura 3.7: Schematico riassuntivo con i vari bus e protocolli di comunicazione.
ne LVTTL-LVDS ed un generatore arbitrario di funzioni per simulare gli impulsi in
ingresso. Con questo setup è possibile caratterizzare le prestazioni dei due integrati
in parallelo. Per inviare i comandi di configurazione via SPI (Power-on reset, read
config, ecc.) è necessario premere in un certo ordine i 4 pulsanti presenti sulla
scheda ospitante l’FPGA. Ad ognuno di questi pulsanti è associato un comando
(ad eccezione del comando di lettura dati dal momento che questa veniva eseguita
sul lato LVDS). Per eseguire correttamente una misura con certe impostazioni, i
comandi da eseguire sono i seguenti:
1. Inviare il segnale di POR per interrompere eventuali acquisizioni;
2. Scrivere i registri di configurazione con le modalità di acquisizione desiderata
(HIGH_RES, REFCLK_DIVISIONS, ecc.);
3. Iniziare la misura;
Una volta inviato il comando di inizializzazione misura, i GPX2 cominciano ad
inviare i dati via LVDS. Oltre al firmware HDL in grado di comunicare con i due
GPX2 è stato necessario implementare anche la parte del codice HDL che rendesse
possibile la comunicazione (controllo e trasmissione dati) comunicazione con un
computer.
32
CAPITOLO 3. GPX2
È stato quindi integrato nel firmware un modulo Verilog che implementa
un core UDP (anche in queso caso partendo da un firmware già sviluppato in
precedenza sempre all’interno del laboratorio strumentazione e detector) e che si
appoggia al modulo di Triple Speed Ethernet (TSE) generato dalla Megafunction
di Altera, il quale, sfruttando transceivers già presenti in hardware all’interno
della CYCLONE V, implementa il livello MAC permettendo di interfacciarsi con
il connettore SFP. Tutti questi moduli sono stati inseriti all’interno di un modulo
wrapper. Grazie all’UDP core sono state create due porte (10001, 10002) utilizzate
per interfacciarsi con un computer, una riservata alla trasmissione dei comandi e
una per la trasmissione dei dati.
Successivamente è stato scritto un modulo che svolgesse la funzione di decoder
per i comandi inviati da un computer. Ogni comando è costituito da una stringa di
due byte. Per testare che il modulo funzionasse correttamente, i primi comandi
sono stati utilizzati per implementare la configurazione da remoto dei GPX, ovvero,
inviando questi da un pc, l’FPGA procede con l’invio su SPI dei comandi già visti.
In parallelo è stato sviluppato un VI su LabVIEW che permette l’invio di suddetti
comandi. Inoltre, alla ricezione di un comando, il modulo decoder invia un flag
"ack" o "nack" rispettivamente se il comando è stato riconosciuto o meno.
3.4 Algoritmo di ricostruzione del tempo assoluto
Una volta controllato il funzionamento del decoder è stato implementato un primo
modulo per encoding ed invio dei dati. Prima di cominciare a scrivere il modulo è
stato necessario ragionare sul formato desiderato per i dati: l’informazione doveva
essere il più possibile compressa per diminuire il throughput e al contempo doveva
contenere tutte le informazioni necessarie per poter ricostruire correttamente su
software di alto livello il tempo assoluto e il canale che aveva registrato l’evento.
Per ricostruire il tempo assoluto dell’arrivo degli eventi (rispetto all’inizio di
una finestra di acquisizione), tutti i segnali vengono riferiti al REFCLK di 10 MHz.
Per testare i GPX2 si è deciso di impostare la larghezza del contatore REFID a 4
bit (quindi con valori che vanno da 0 a 15) e quella di TSTOP a 20 bit per fare in
modo che i dati per ogni evento (REFID + TSTOP) fossero di 3 byte esatti e affichè
il bin potesse essere di 1 ps1. Con questi valori si verifica un overflow di REFID
ogni 1,6 us e utilizzando semplicemente questi contatori non sarebbe possibile
ricostruire correttamente il tempo assoluto di eventi separati da un intervallo
1Servono almeno 17 bit per contare fino a 100,000.
33
CAPITOLO 3. GPX2
maggiore. Per ovviare a questo problema è stato utilizzato un contatore di 32 bit
sull’FPGA chiamato window-counter il quale fondamentalmente estende REFID
venendo fatto incrementare di 16 ogni 80 colpi del clock sull’FPGA (50MHz).
A questo punto, una volta fatta partire l’acquisizione, viene avviato in con-
temporanea anche il window-counter. Il primo evento registrato viene salvato
come evento di riferimento in base al quale vengono ricostruiti tutti gli eventi
seguenti. Chiamando ID𝑟𝑒𝑓 il valore del REFID di questo primo evento, per risalire
al tempo di arrivo di un evento rappresentato dalla terna (CHx, REFID𝑛, TSTOP𝑛)
è possibile utilizzare la seguente formula.
𝑡𝑎𝑏𝑠 [𝑝𝑠] = (𝑤𝑖𝑛𝑑𝑜𝑤_𝑐𝑜𝑢𝑛𝑡𝑒𝑟 + (𝑅𝐸𝐹𝐼𝐷𝑛 − 𝐼𝐷𝑟𝑒𝑓 )𝑚𝑜𝑑16) · 100, 000 +𝑇𝑆𝑇𝑂𝑃𝑛
Figura 3.8: Esempio schematico di una possibile ricostruzione errata del tempo
dovuta alla non sincronia dei diversi clock utilizzati.
Questa formula non tiene conto del fatto che REFCLK per i GPX2 ed il clock
che pilota window counter non sono sincronizzati e per questo in una singola
finestra di questo secondo clock possono verificarsi eventi con due REFID diversi
come si può vedere in Fig. 3.8. Questo risulta particolarmente problematico nelle
finestre dove si verifica l’overflow.
Per risolvere questo problema è stato necessario applicare un controllo basato
sugli ID: se sull’FPGA è appena stato incrementato il window-counter e viene
ricevuto un evento con REFID pari a ID𝑟𝑒𝑓 − 1 e TSTOP𝑛 elevato al posto di ID𝑟𝑒𝑓
come ci si aspetterebbe, vuol dire che il fronte del REFCLK è leggermente in ritardo
rispetto al fronte di clock a 50 MHz e per questo per ottenere il tempo effettivo di
questi eventi bisogna sottrarre 1,6 us. Per contro, se REFCLK fosse in anticipo è
34
CAPITOLO 3. GPX2
Figura 3.9: Foto del sistema con patch (due cavi neri con connettori U.FL-SMA)
per iniettare il clock esterno.
necessario aggiungere 1,6 us agli eventi che vengono registrati a cavallo di una
finestra con ID𝑟𝑒𝑓 + 1 .
Infine, durante le prime letture dei dati si è osservato che, nonostante queste
correzioni, dopo un certo intervallo di tempo di acquisizione (circa una decina di
millisecondi) si verificavano comunque eventi la cui ricostruzione avveniva in modo
errato. Ciò è dovuto al fatto che, per quanto fossero precisi i due clock, questi non
sono sincronizzati e per questo dopo un certo intervallo dall’inizio dell’acquisizione
lo sfasamento è troppo elevato. Questo problema è stato inizialmente arginato
andando ad aggiornare periodicamente l’ID𝑟𝑒𝑓 . Successivamente è stata aggiunta
una patch che permette di pilotare i GPX2 ed il contatore window-counter con
clock sincronizzati generati da un generatore di funzioni a parte (Fig. 3.9). Dopo
questa modifica il sistema ha funzionato correttamente.
3.5 Formato dati
Dal momento che la minima distanza temporale tra due impulsi consecutivi su uno
stesso canale di un GPX2 è di 20 ns (f = 50 MHz), è facile vedere che la massima
35
CAPITOLO 3. GPX2
frequenza con cui gli eventi possono entrare nell’FPGA è pari a 50 MHz per ciascun
canale. Per questo motivo se si vuole che l’interfaccia Gigabit ethernet sia in grado
di inviare le informazioni relative a ciascun evento è necessario che per ogni
dato vengano inviati meno di 20 bit. Purtroppo, considerando che i GPX2 erano
configurati per inviare 20 bit per l’informazione TSTOP e 4 bit di REFID, questo
risulta già impossibile.
La soluzione che si è deciso di adottare prevede l’invio di 7 byte per ogni evento
(Fig. 3.10). I primi 32 bit sono costituiti dal REFID (esteso grazie al window-counter)
ai quali seguono 20 bit di informazione fine ed infine 4 bit per identificare il canale
di provenienza. In questo modo è stato possibile semplificare sia il firmware sia il
software in quanto la lunghezza di ogni informazione è costante e non dipende
dal numero di canali che hanno osservato un evento.
Figura 3.10: Formato dati modificato.
Gli eventi vengono raccolti all’interno di una FIFO e, una volta raggiunto un
certo limite (impostato arbitrariamente a 7000 Byte) o terminata la finestra di
acquisizione, vengono inviati tramite jumbo frame via UDP.
3.6 Caratterizzazione
Per valutare le prestazioni di questo sistema sono state eseguite delle misure
con l’apparato descritto in precedenza che hanno permesso di determinare la
risoluzione temporale dei GPX2 nelle modalità RESx1, RESx2 e RESx4 a frequenze
e durate variabili, evidenziando talvolta alcuni comportamenti interessanti. Come
prima cosa, dal momento che questi TDC vengono utilizzati principalmente per
misurare gli intervalli di tempo tra coppie di canali (ad esempio con rivelatori CDA),
è stato scritto un VI per ricostruire i dati e successivamente visualizzarli. Questo
VI permette di visualizzare i dati provenienti dal sistema in tre diversi modi: come
stream raw dei dati come vengono ricevuti su UDP, su un grafico evento-tempo
come rappresentato in Fig. A.1 (massimo 4 canali alla volta tutti sullo stesso grafico
o su 4 grafici separati) e su un istogramma per vedere l’eventuale correlazione tra
diversi canali.
36
CAPITOLO 3. GPX2
3.6.1 Fenomeni di quantizzazione
A questo punto con il generatore di funzioni è stato generato un segnale a onda
quadra, il quale è stato poi "splittato" passivamente (per evitare di introdurre jitter)
su due linee. Queste sono state mandate in ingresso a due canali del sistema e i
risultati sono stati tracciati su dei grafici simili a quelli in Fig. 3.11. È possibile
vedere due possibili grafici che sulle ascisse presentano il numero di campione
mentre in ordinata hanno la differenza dei tempi di arrivo misurati dai due canali.
Risulta subito evidente che tali grafici sono decisamente differenti: il grafico in
alto è il risultato della differenza tra risultati provenienti da due canali dello stesso
GPX2 mentre il grafico sottostante riporta le differenze tra i tempi registrati da
due canali provenienti ciascuno da un GPX2 diverso.
(a) Intra GPX2, RESx2
(b) Inter GPX2, RESx1
Figura 3.11: Grafici degli intervalli temporali tra diversi canali. Nel grafico a) si
osservano fenomeni di quantizzazione interni allo stesso GPX2.
37
CAPITOLO 3. GPX2
Figura 3.12: Differenza del tempo di arrivo di impulsi consecutivi su uno stesso
canale (opportunamente traslata per essere visualizzata in un intorno di zero).
Nel grafico superiore si osservano evidenti effetti dovuti alla quantizzazione
che ogni tanto sembrano scomparire (sempre in Fig. 3.11 intorno alla coppia di
campioni 15000). Per cercare di capire a cosa sono dovuti questi fenomeni, nello
specifico come mai questi non si verificano tra due GPX2 diversi ma solo all’interno
dello stesso integrato, sono stati presi in analisi segnali su un singolo canale. In
particolare, in Fig. 3.12 si osservano le differenze di campioni successivi provenienti
da uno stesso canale . Per visualizzare questi dati in un intorno dello 0, le differenze
sono state traslate di 2·107 ps (periodo di arrivo dei segnali, corrispondente a 50
kHz). Come si osserva, non sembra esserci nessuna correlazione tra campioni molto
distanti nel tempo e questo spiegherebbe la simile distribuzione casuale osservata
tra segnali di due GPX2 diversi. Questo porta ad ipotizzare che gli artefatti di
quantizzazione appaiano solo tra eventi verificatisi all’interno dello stesso REFID,
ipotesi che spiegherebbe anche i fenomeni di "perdita" della quantizzazione. Tali
punti potrebbero infatti essere dovuti a eventi verificatisi a cavallo tra due diversi
REFID. Secondo questa ipotesi, considerando che il clock degli eventi (50 kHz) e
REFCLK sono costanti, dovrebbero verificarsi con una certa periodicità, mostrando
quindi un fenomeno di battimenti tra i due clock. In Fig. 3.13 è visibile tale
periodicità.
3.6.2 Modalità High Resolution
Un’altra caratteristica che si è voluto approfondire è la funzionalità di alta risoluzio-
ne. Come già accennato, questa modalità ritarda internamente i segnali in ingresso,
quindi li campiona nuovamente e calcola la media dei vari campionamenti (uno
38
CAPITOLO 3. GPX2
Figura 3.13: Visualizzazione del fenomeno dei battimenti su un intervallo di 5
secondi.
o tre campionamenti aggiuntivi). Per valutare quindi l’eventuale miglioramento
delle prestazioni di queste modalità sono state raccolte, come nel caso precedente,
le differenze tra due canali, questa volta però sono stati accumulati ed inseriti su
istogrammi. Infine, sempre attraverso il VI di elaborazione, è stato eseguito un
fit gaussiano degli istogrammi e per ogni gaussiana è stata calcolata l’ampiezza a
mezza altezza (Full Width Half Maximum, FWHM).
Per ogni modalità di risoluzione (RESx1, RESx2 e RESx4) sono stati acquisiti
dati per un secondo in tre modi diversi:
• single-shot, come visto nei casi precedenti, vengono confrontati i segnali
consecutivi provenienti da uno stesso canale.
• START-STOP intra GPX2, dove vengono considerati gli intervalli di tempo
su due canali separati di GPX2 diversi.
• START-STOP intra GPX2, dove vengono considerati gli intervalli di tempo
su due canali separati dello stesso GPX2.
I grafici nelle Figure 3.14 e 3.15 non presentano caratteristiche particolari
mentre in Fig. 3.16 si può osservare l’effetto delle modalità di alta risoluzione sugli
artefatti di quantizzazione. Nel grafico corrispondente alla risoluzione normale si
osserva che le colonne degli istogrammi distano ∼44 ps gli uni dagli altri mentre nei
grafici sottostanti, corrispondenti alle modalità di doppia e quadrupla risoluzione,
si ha che questa distanza diventa rispettivamente ∼22 ps e ∼11 ps. Come già detto,
39
CAPITOLO 3. GPX2
Figura 3.14: In blu si osservano gli istogrammi della distribuzione della differenza
temporale (traslati opportunamente sull’asse delle ascisse) di impulsi consecutivi a
500 kHz su uno stesso canale di un GPX2, mentre in verde e rosso è la gaussiana
derivante dall’operazione di fit.
per ognuna di queste acquisizioni è stata anche calcolata la FWHM. Nella Tabella
3.1 vengono riportati i principali risultati delle misure.
40
CAPITOLO 3. GPX2
Figura 3.15: In blu si osservano gli istogrammi della distribuzione della differenza
temporale di impulsi a 500 kHz su due canali di due GPX2 diversi, mentre in verde
e rosso è la gaussiana derivante dall’operazione di fit.
Dai dati risulta che in tutte e tre le diverse modalità di acquisizione (single-shot,
inter e intra) si ottengono prestazioni più o meno simili con la stessa risoluzione.
41
CAPITOLO 3. GPX2
Figura 3.16: In blu si osservano gli istogrammi della distribuzione della differenza
temporale di impulsi a 500 kHz su due canali di uno stesso GPX2, mentre in verde
e rosso è la gaussiana derivante dall’operazione di fit.
Solo la modalità con due canali di uno stesso GPX2 presenta leggeri miglioramen-
ti, tuttavia questo dato potrebbe non essere del tutto esatto dal momento che i
42
CAPITOLO 3. GPX2
RESx1 RESx2 RESx4
Single-shot 66,13 45,67 34,84
Inter GPX2 72,88 44,90 33,06
Intra GPX2 51,55 30,64 24,9
Tabella 3.1: Tabella delle FWHM in picosecondi per diverse modalità di acquisizione
e risoluzioni.
fenomeni di quantizzazione hanno complicato il processo di fit gaussiano.
Inoltre si osserva che, aumentando la risoluzione si ha che per ogni incremento
(da RESx1 a RESx2, o da RESx2 a RESx4) l’ampiezza a mezza altezza viene scalata
di circa un fattore 1,4 (
√
2) con un eguale miglioramento della precisione di questi
strumenti.
A conclusione di questi test è risultato che la risoluzione dei GPX2 supera di
gran lunga le prestazioni dei modelli sui quali sono basati gli attuali strumenti per
la conversione tempo-digitale, come ad esempio il GPX, ASIC precedente rispetto ai
GPX2, il quale nella modalità di massima risoluzione presenta una FWHM attorno
agli 80 ps. Inoltre è stato osservato che i fenomeni che possono verificarsi durante
una misura (quantizzazione e perdita di quantizzazione nel caso di eventi a cavallo
di due REFID) non determinano un peggioramento delle prestazioni. Uno degli
aspetti più critici di questi dispositivi è il minimum pulse-to-pulse spacing che
appunto limita la frequenza massima di osservazione degli eventi e che peggiora
con l’aumentare della precisione.
Questo fattore non è del tutto limitante in quanto nella modalità RESx1 co-
munque è possibile ottenere frequenze di 50 MHz. Tuttavia, volendo essere in
grado di mantenere elevate precisioni e al contempo essere in grado di ricevere
eventi a frequenze più elevate è necessario utilizzare altri strumenti. In particolare,
come vedremo meglio nel Capitolo successivo, esistono TDC che permettono di
soddisfare entrambi questi requisiti, ovvero in grado di misurare eventi a frequenze
intorno ai 100 MHz con risoluzioni comparabili con quelle del GPX2.
43
Capitolo 4
Misure su Banco e su linea di
Sincrotrone
Successivamente alla caratterizzazione degli ASIC è stato necessario, in vista
dell’esperimento, preparare il sistema in modo da renderlo più robusto e facile
da trasportare senza provocarne la rottura. Il sistema, inizialmente costituito da
FPGA, scheda che ospita le GPX2 ed un’ulteriore scheda bi-faccia utilizzata per
convertire gli impulsi da LVTTL a LVDS, presentava diversi aspetti critici che
avrebbero potuto determinarne la rottura o eventuali malfunzionamenti:
• A causa dei vari cavi c’era il rischio che uno di essi venisse tirato oppure
che un gesto brusco facesse saltare una delle saldature delle patch (come è
successo durante la creazione della base di appoggio);
• Per poter utilizzare tutti gli 8 canali serviva un’altra scheda per la conversione
LVTTL-LVDS.
• Gli integrati che eseguono la conversione necessitano dell’alimentazione
a +3.3V e in origine questa doveva essere fornita da un alimentatore ester-
no. Sebbene questo non fosse un problema di fondamentale importanza
quanto gli altri due, è stato deciso che fosse meglio rimuovere l’ingombro
dell’alimentatore e usare una tensione fornita dalla scheda che ospita la
FPGA.
44
CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE
4.1 Misure su banco
Il primo passo è stato prendere una base di alluminio di dimensioni opportune e
forarla adeguatamente per poter fissare tutti gli elementi necessari e quindi rendere
il sistema più robusto. Successivamente sono stati saldati (ed incollati alla PCB)
due cavi, uno all’alimentazione (+3.3V) portata dal connettore HSMC e l’altro a
massa per alimentare gli integrati di conversione. Infine, con l’utilizzo di una fresa
per la prototipazione di PCB, è stata realizzata la scheda di conversione, sono stati
saldati manualmente i componenti e se ne è verificato il corretto funzionamento.
In Fig. 4.1 si possono vedere i passi verso il prodotto ultimato.
(a) Fase 1 (b) Fase 2
(c) Fase 3 (d) Fase 4
Figura 4.1: a) Apparato iniziale con cui si sono svolte le misure di caratterizzazione
degli integrati; b) Lamina in alluminio forata per fissare i vari componenti c) Scheda
bi-faccia per la conversione LVTTL-LVDS (senza componenti saldati) d) Apparato
finale
Per simulare gli impulsi che vengono solitamente osservati durante gli esperi-
menti, è stato usato un generatore di impulsi e, usando una punta che simulasse
l’impatto di un bunch di elettroni sulla CDL, sono stati eseguiti alcuni test. I quattro
segnali uscenti, dopo essersi accertati con un oscilloscopio che non fossero troppo
alti da mandare in saturazione gli amplificatori dei 4 canali e al contempo che
l’ampiezza fosse abbastanza elevata da permettere ai CFD di eseguire la conver-
45
CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE
sione, sono stati collegati ad un altro convertitore tempo-digitale (THR02-TDC,
progettato sempre all’interno del laboratorio strumentazione e detector, con 4
canali e basato sul GPX, modello precedente rispetto al GPX2) e, il nuovo sistema è
stato collegato alle uscite digitali che vengono fornite (CFD interni al THR02-TDC).
In questo modo è stato possibile avere un confronto tra i dati forniti dai due sistemi.
(a) (b)
Figura 4.2: Grafici XY ottenuti cambiando la disposizione delle coppie di canali
relative a ciascuna delay line. Per entrambi i grafici le GPX2 hanno lavorato in
modalità di risoluzione doppia. a) canali collegati alla stessa GPX2. La griglia è
dovuta agli effetti di quantizzazione delle GPX. b) canali collegati a GPX2 diverse.
In questo caso la quantizzazione si può osservare come linee sulla diagonale.
Inizialmente i dati sono stati rappresentati su un grafico XY, sugli assi del quale
venivano riportate le differenze in picosecondi dei tempi di arrivo. Nei grafici in Fig.
4.2 si possono vedere i già noti fenomeni di quantizzazione ad opera delle GPX2.
Nel grafico a sinistra sono molto più evidenti in quanto i canali relativi alla linea
superiore del detector 2D erano collegati entrambi alla stessa GPX2, mentre nel
grafico a destra si osservano tali fenomeni solo come linee diagonali dal momento
che due canali di una stessa GPX2 vengono usati uno per misurare le ascisse e
l’altro le ordinate. La massima escursione temporale che si può osservare su un
canale risultava essere di ±18500 ps. Mettendo in relazione ciò con le dimensioni
della CDL, ovvero 47000 micron per lato, si è ottenuto un fattore di conversione da
picosecondi a micron (per questioni pratiche si è scelto che un pixel corrispondesse
a 10 micron). Successivamente sono stati tracciati gli intensity graph riscalando i
dati con questo fattore di conversione (Fig. 4.3).
Per verificare l’effettiva correttezza della conversione ci siamo avvalsi della
46
CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE
Figura 4.3: Intensity graph degli stessi impulsi. Ogni pixel è pari a 10 um.
possibilità di eseguire movimenti noti in lungo X e lungo Y con risoluzione del
micron grazie a degli opportuni traslatori. La generazione di spostamenti noti
lungo i due assi principali ha permesso di calibrare opportunamente il sistema.
Questo rapido controllo ha permesso di verificare che i dati in arrivo dall’FPGA
fossero verosimili.
Una volta acquisiti i dati, sono stati confrontati con le misure che erano state
fatte per la caratterizzazione. Anche in questo caso, come già era stato visto in Fig.
4.2, e successivamente in Fig. 4.4, anche dalle misure su banco si possono osser-
vare fenomeni di quantizzazione interni alle GPX2 (in uno determina il pattern a
griglia/linee diagonali mentre nell’altro determina la separazione di 22 picosecondi
sull’asse delle ordinate). Ancora una volta si vedono degli intervalli di tempo
durante i quali sembra che si perda la quantizzazione. Andando ad acquisire per
un periodo di tempo più lungo si vede che tali intervalli sono periodici ma in
ogni caso non vanno ad intaccare l’accuratezza della misura in quanto i valori si
mantengono ragionevolmente attorno al valore medio. Sempre dalla Fig. 4.4 si
osserva qualitativamente (ci sono molti più intervalli di 22 ps tra gli eventi) che la
risoluzione che si ottiene nella traccia inferiore è peggiore (circa il doppio) rispetto
47
CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE
Figura 4.4: Grafici ottenuti raccogliendo i segnali alla frequenza di 50 KHz in uscita
da due linee di ritardo con le GPX2 in modalità di risoluzione doppia. Sulle ascisse
abbiamo gli eventi mentre sulle ordinate c’è la differenza in picosecondi dell’arrivo
alle estremità di ciascuna linea. Il grafico in alto è frutto dell’acquisizione dalla
linea di trasmissione lungo le x (traccia superiore) per un secondo. Nel grafico
in basso si vedono invece i dati della linea delle y (traccia inferiore) per cinque
secondi di acquisizione.
a quella superiore. Questo è dovuto al fatto che i segnali in arrivo sul rivelatore rag-
giungono con difficoltà lo strato inferiore, producendo di conseguenza un impulso
con uno scarso rapporto segnale rumore. All’inizio delle misure si registrava che la
FWHM per la traccia superiore era attorno ai 48 ps, ovvero leggermente peggiore
rispetto al caso ideale, mentre per l’altra traccia la FWHM raggiungeva i 90 ps.
Per cercare di migliorare questo aspetto è stata aumentata l’amplificazione dei
segnali inferiori (comunque senza andare a distorcere il segnale). Ciò ha portato
un miglioramento di circa 30 ps sulla FWHM per le ordinate.
48
CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE
4.2 Esperimento su CiPo
Dopo essersi assicurati che il sistema fosse completamente funzionante in condi-
zioni note ed ideali quali quelle descritte in precedenza, il sistema è stato testato
utilizzando luce di sincrotrone. Come è stato scritto già nelle fasi introduttive di
questa tesi, la comunità dei fisici che effettua misure di coincidenza con luce di
sincrotrone è fortemente interessata allo sviluppo dell’elettronica qui descritta,
ed ha collaborato preziosamente nella realizzazione di quest’ultima. Al momento
l’elettronica su cui si basano gli esperimenti di coincidenza è costituita dall’assem-
blaggio di diversi apparati - un THR02-TDC e un TDC-GPX della ACAM - gestiti
da tre diversi computer. Questo apparato, oltre ad essere di difficile gestione e
limitato in risoluzione temporale, non consente di avere sotto controllo di un’unica
FPGA tutte le misure, rendendo inevitabile un lungo lavoro di post-processing che,
in linea di principio, potrebbe invece essere eseguito (almeno in parte) in tempo
reale dalla nuova elettronica. È stato deciso quindi di montare la nuova elettro-
nica sulla linea di luce dove al momento risiede questo apparato, la linea "CiPo-
Circular Polarized", al fine di avere sempre a disposizione uno strumento con il
quale fare le debite comparazioni misurando le stesse cose. Al fine di essere certi
che il sistema fosse affidabile, sono state svolte delle misure di coincidenza usando
come campione l’esafluoruro di zolfo (SF6), molecola già ampiamente studiata e per
questo ottimo campione di confronto. La fisica alla base di tale esperimento è già
stata discussa nel Capitolo 1 e per questo si passerà direttamente alla descrizione
dell’apparato sperimentale e quindi ai risultati sperimentali.
4.2.1 Apparato sperimentale
Il sistema mostrato a sinistra in Fig. 4.5 è costituito da un analizzatore elettronico
emisferico della Vacuum Generator montato dalla parte opposta di uno spettro-
metro di massa a tempo di volo (TOF) rispetto al fascio di luce. Tutto il sistema
è inclinato con un angolo di 54.7◦ rispetto al vettore di polarizzazione della luce
(polarizzazione orizzontale). Sulla destra invece si può vedere un disegno che
schematizza l’apparato. Lo spettrometro è costituito da una regione di estrazione
(zona compresa tra l’extractor ed il repeller), una regione di accelerazione che va
dall’extractor al tubo di volo ed infine una regione di deriva per gli ioni priva di
alcun campo (DT, drift tube). Al termine del DT è presente un "𝑠𝑖𝑛𝑔𝑙𝑒 𝑎𝑛𝑜𝑑𝑒 𝑓 𝑎𝑠𝑡"
MCP che è il responsabile di raccogliere le informazioni riguardanti gli ioni. Il
tempo di volo degli ioni è determinato dall’intensità del campo dello spettrometro e
49
CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE
Figura 4.5: Apparato di rivelatori
dal rapporto massa/carica degli ioni ed il volume di ionizzazione è indicato sempre
in Fig. 4.5 dal puntino rosso.
L’analizzatore elettronico VG è montato in maniera tale da "osservare" lo stesso
volume attraverso alcune griglie d’oro molto sottili del repeller1 ed è predisposto
per trasmettere solo gli elettroni con una certa energia cinetica che verranno poi
intercettati da un altro MCP al quale è collegato un detector CDA che permette di
ottenere il tempo in cui l’elettrone impatta oltre che la posizione. Il fatto di avere
informazioni spaziali su due dimensioni consente di calcolare con più precisione
l’energia cinetica degli elettroni all’interno della finestra ammessa.
Dato che l’analizzatore ha bisogno lavorare in assenza di campi per non andare
a modificare l’energia cinetica degli elettroni, in stato di riposo, sia l’extractor sia
il repeller sono posti a tensione nulla. Ogniqualvolta un elettrone raggiunge il
detector con la delay-line viene innescato un impulso ad alta tensione che va variare
le tensioni sulla coppia extractor-repeller (applicando rispettivamente una tensione
negativa ed una positiva) e questo fa in modo che gli ioni vengano accelerati verso
lo spettrometro. Questo approccio è corretto in quanto il tempo di volo degli
1tali griglie, presenti anche su extractor e drift tube, permettono di definire un buon campo
elettrico e di mantenere le trasmissioni delle particelle al 90%.
50
CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE
elettroni all’interno dell’analizzatore è molto più breve di quello degli ioni, che
possono in prima approssimazione essere considerati quasi "fermi" nel periodo
che va dalla ionizzazione all’arrivo degli elettroni sul rivelatore. Il tempo di volo
degli ioni viene quindi calcolato a partire dall’impulso HV che, essendo generato
a sua volta dagli elettroni, correla la misura dello spettrometro di massa per gli
ioni con gli elettroni con energia cinetica nota. Come vedremo successivamente
nella sezione relativa all’analisi dei dati, l’obiettivo dell’esperimento sarà quello di
ricostruire le associazioni tra elettroni con una certa energia cinetica e i vari tipi
di ioni dai quali questi elettroni sono stati emessi.
Una delle principali complicazioni che intervengono in questo tipo di espe-
rimenti consiste nel fatto che l’analizzatore elettronico non ha modo di rilevare
tutti gli elettroni e di fatto l’angolo solido che è in grado di coprire costituisce solo
una piccola frazione dell’angolo solido totale (cono con apertura di ∼8◦, ∼0,061
steradianti) mentre lo spettrometro di massa TOF, poiché è in grado di accelerare
tutti gli ioni in una certa direzione, riceve la maggior parte delle particelle che
vengono a generarsi. Ciò significa che viene a crearsi un enorme divario tra i
conteggi ricevuti dai due rivelatori, solitamente di un fattore 103 − 104. È pos-
sibile aumentare il numero di particelle ricevute aumentando il flusso, tuttavia
questo metodo è limitato superiormente dal numero massimo di ioni che, se trop-
po elevato, rischia di consumare troppo l’MCP. Questi ultimi infatti nascono per
rilevare fotoni o elettroni, e particelle così "massive" come gli ioni deteriorano
rapidamente lo strato moltiplicativo dei canali; ciò è dovuto al fatto che all’entrata
degli ioni, questi vendono convertiti in elettroni e l’efficienza di questo processo
dipende dall’energia/momento degli ioni (in questo caso intorno ai 3 keV). Il basso
numero di elettroni rispetto a quello degli ioni implica che non si può essere sicuri
del fatto che un determinato ione sia effettivamente correlabile ad un elettrone
con una specifica energia cinetica, ma potrebbe trattarsi anche di un altro ione
presente nel volume di ionizzazione non associato ad alcun elettrone che arriva
nell’analizzatore. Per questo motivo le acquisizioni classiche per questi tipi di
esperimenti, oltre a richiedere un lungo lavoro di "𝑝𝑜𝑠𝑡 𝑝𝑟𝑜𝑐𝑒𝑠𝑠𝑖𝑛𝑔" dei dati per
trovare le "associazioni" tra le varie coppie "elettrone ione", vengono a durare
anche decine di ore per un totale di centinaia di GB di memoria accumulati al fine
di raccogliere una statistica sufficiente. Per cercare di correggere (o limitare in una
certa misura) questo problema vengono registrati anche degli eventi (impatto di
ioni) il cui impulso originario non è stato innescato dall’arrivo di un elettrone ma
da un generatore (il quale viene inserito in un ulteriore canale del sistema) che
51
CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE
simula l’arrivo di un elettrone alla frequenza di ∼100 Hz chiamato segnale random.
Dato che questi eventi sono "artificiali" e non correlati ad alcun elettrone, queste
coppie "generatore - ione" servono per avere una stima del fondo da sottrarre alle
coppie "elettrone - ione".
Figura 4.6: Istrogramma che rappresenta il numero di eventi registrati per ogni
canale durante un’acquisizione di 5 minuti. Nei canali 1,3,5 e 6 ci sono i segnali
in arrivo dalla CDA, nel canale 2 vengono rappresentati gli ioni. I canali 4,7 ed 8
rappresentano rispettivamente gli impulsi di alta tensione che accelerano gli ioni,
gli elettroni (presi da uno dei canali) e l’unione di elettroni con impulsi random a
100 Hz.
Quindi, i vari segnali che vengono utilizzati sono i seguenti:
• I segnali generati dal rivelatore degli elettroni (4 canali in totale);
• l’impulso prodotto all’arrivo degli ioni (1 canale);
• Impulsi di alta tensione, ovvero generati sia dagli elettroni, sia quelli prodotti
artificialmente (1 canale);
• L’impulso che registra l’arrivo dell’elettrone (ricavato dal rivelatore CDL) (1
canale);
• L’impulso provocato dall’ "OR" degli impulsi di elettroni e dell’impulso a
100 Hz e segnali random (1 canale);
52
CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE
per un totale di 8 canali. I canali in uscita dall’impulsatore, quello degli elettroni
e quello dato dalla somma di elettroni e segnale a 100 Hz sono necessari per il
software di elaborazione dei dati scritto dal Dottor Richter per ricostruire cor-
rettamente le coincidenze. In Fig. 4.7 si può vedere uno schema riassuntivo dei
collegamenti.
Il sistema usato in precedenza faceva uso del vecchio modello delle GPX.
Queste schede, a differenza del nuovo modello, avevano un canale di start al quale
venivano riferiti gli eventi. Nell’apparato precedente questo impulso era dato con la
frequenza di 1 Hz mentre nel nuovo sistema non è necessario in quanto è cambiato il
modo in cui vengono registrati i dati. Un aspetto che è stato ampiamente migliorato
rispetto al vecchio sistema consiste nel fatto che le nuove schede sono in grado di
acquisire campioni senza interruzioni mentre i TDC precedentemente utilizzati
avevano un "deadtime", ovvero un certo intervallo temporale all’interno del quale
non era possibile registrare eventi. Solitamente per un secondo di acquisizione si
avevano circa 800 ms effettivi di acquisizione e 200 ms di "deadtime" 2, ovvero non
era possibile ricevere eventi per un quinto del tempo di acquisizione totale (per
rendere l’idea, su 10 ore di acquisizione, ovvero durata minima per una classica
acquisizione notturna, 2 ore di acquisizione erano perdute. Considerando che
questi sono esperimenti relativi a "eventi rari", questo aspetto era particolarmente
grave).
Inoltre, sebbene l’esperimento in questione in questo particolare caso non sia
particolarmente esigente dal punto di vista della risoluzione temporale (e quindi
anche energetica), il nuovo sistema, come visto dal capitolo precedente, è in grado
di fornire una precisione attorno ai 40 ps (questo nella prima modalità di alta
risoluzione), dove le GPX del sistema vecchio non scendono sotto gli 80 ps, di fatto
migliorandola di un fattore due.
Infine il fatto di dover gestire più dispositivi e doverli sincronizzarli richiedeva
l’utilizzo di tre computer diversi, mentre il nuovo sistema può essere gestito da un
singolo pc e da un’unica FPGA, che riceve tutte le informazioni temporali e quindi
è potenzialmente in grado di eseguire diverse operazioni in tempo reale.
4.2.2 Acquisizione ed elaborazione dati
Come si era fatto anche per il protocollo da seguire per trasmettere dati e comandi
tra PC ed FPGA, al fine di evitare di dover modificare anche i programmi di
2Questo "deadtime" dipende dal numero di eventi, può essere scelto arbitrariamente e serve al
computer di acquisizione per gestire i dati.
53
CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE
Figura 4.7: Schema a blocchi semplificato
acquisizione ed elaborazione che venivano utilizzati dai ricercatori, si è cercato di
mantenere un formato simile. Il nuovo software è stato realizzato in collaborazione
con l’ing. Stebel sulla falsa riga del programma per testare il sistema su banco. In
Fig. 4.8 si possono vedere le due schermate principali di tale programma. Quella
in alto costituisce il VI per la comunicazione con il sistema di acquisizione e
nell’immagine si possono vedere diversi elementi:
1. Indicatore dello stato di connessione (Not available/connected a seconda del
fatto che ci sia comunicazione con l’FPGA).
2. Pulsante per aprire la schermata delle impostazioni della linea.
3. Controllo per la durata dell’acquisizione.
4. Pulsanti per il controllo dell’acquisizione.
5. Indicatori con numero di conteggi per secondo e totali dell’acquisizione.
6. Cronometro e "𝑝𝑟𝑜𝑔𝑟𝑒𝑠𝑠 𝑏𝑎𝑟" per monitorare l’avanzamento dell’acquisizio-
ne.
Premendo il tasto "START" è possibile far partire un’acquisizione. Il software
quindi raccoglierà dati per un intervallo di tempo pari al "Acquisition time" scelto.
54
CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE
(a)
(b)
Figura 4.8: Schermate del software di acquisizione
Questo VI è stato usato principalmente in fase di montaggio del sistema sulla linea
per controllare che tutti i canali ricevessero correttamente i segnali. Le informazioni
sugli indicatori dei conteggi sono state confrontate con gli altri software e in caso
55
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero
Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone   cautero

More Related Content

Similar to Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone cautero

Analisi e prototipazione di un sistema di streaming per la localizzazione in ...
Analisi e prototipazione di un sistema di streaming per la localizzazione in ...Analisi e prototipazione di un sistema di streaming per la localizzazione in ...
Analisi e prototipazione di un sistema di streaming per la localizzazione in ...TiborRacman
 
Public Light Manager - Una GUI per la gestione remota di un impianto di illum...
Public Light Manager - Una GUI per la gestione remota di un impianto di illum...Public Light Manager - Una GUI per la gestione remota di un impianto di illum...
Public Light Manager - Una GUI per la gestione remota di un impianto di illum...Gianluca Ritrovati
 
Studio e sviluppo di una soluzione circuitale per la simulazione di un rivela...
Studio e sviluppo di una soluzione circuitale per la simulazione di un rivela...Studio e sviluppo di una soluzione circuitale per la simulazione di un rivela...
Studio e sviluppo di una soluzione circuitale per la simulazione di un rivela...DanieleMarchese6
 
Progettazione di universal active filters e realizzazione di un software per ...
Progettazione di universal active filters e realizzazione di un software per ...Progettazione di universal active filters e realizzazione di un software per ...
Progettazione di universal active filters e realizzazione di un software per ...SamanthaGaio
 
Analisi e realizzazione di uno strumento per la verifica di conformità su sis...
Analisi e realizzazione di uno strumento per la verifica di conformità su sis...Analisi e realizzazione di uno strumento per la verifica di conformità su sis...
Analisi e realizzazione di uno strumento per la verifica di conformità su sis...Davide Bravin
 
Tesi: Progetto e realizzazione di un sistema robusto di gestione dei dati per...
Tesi: Progetto e realizzazione di un sistema robusto di gestione dei dati per...Tesi: Progetto e realizzazione di un sistema robusto di gestione dei dati per...
Tesi: Progetto e realizzazione di un sistema robusto di gestione dei dati per...Paolo Morandini
 
Analisi e sviluppo di un sistema collaborativo simultaneo per la modifica di ...
Analisi e sviluppo di un sistema collaborativo simultaneo per la modifica di ...Analisi e sviluppo di un sistema collaborativo simultaneo per la modifica di ...
Analisi e sviluppo di un sistema collaborativo simultaneo per la modifica di ...Filippo Muscolino
 
Misura della resistenza degli aghi di uno zoccolo usato per il test di moduli...
Misura della resistenza degli aghi di uno zoccolo usato per il test di moduli...Misura della resistenza degli aghi di uno zoccolo usato per il test di moduli...
Misura della resistenza degli aghi di uno zoccolo usato per il test di moduli...Daniele Naibo
 
Digitalizzazione di un processo industriale
Digitalizzazione di un processo industrialeDigitalizzazione di un processo industriale
Digitalizzazione di un processo industrialeGiulioDeBiasio2
 
NunzioMeliTesi-up1
NunzioMeliTesi-up1NunzioMeliTesi-up1
NunzioMeliTesi-up1Nunzio Meli
 
Sviluppo di un sistema a microonde finalizzato alla misura del livello dell'a...
Sviluppo di un sistema a microonde finalizzato alla misura del livello dell'a...Sviluppo di un sistema a microonde finalizzato alla misura del livello dell'a...
Sviluppo di un sistema a microonde finalizzato alla misura del livello dell'a...shadykal
 
Algoritmo probabilistico di tipo montecarlo per il list decoding elaborato
Algoritmo probabilistico di tipo montecarlo per il list decoding   elaboratoAlgoritmo probabilistico di tipo montecarlo per il list decoding   elaborato
Algoritmo probabilistico di tipo montecarlo per il list decoding elaboratodanielenicassio
 
Prototipazione di una piattaforma di controllo degli accessi fisici cross ven...
Prototipazione di una piattaforma di controllo degli accessi fisici cross ven...Prototipazione di una piattaforma di controllo degli accessi fisici cross ven...
Prototipazione di una piattaforma di controllo degli accessi fisici cross ven...MassimoPalmisano
 
Profilazione utente in ambienti virtualizzati
Profilazione utente in ambienti virtualizzatiProfilazione utente in ambienti virtualizzati
Profilazione utente in ambienti virtualizzatiPietro Corona
 
a1dddf507ce838f51f5349d2b2c25241
a1dddf507ce838f51f5349d2b2c25241a1dddf507ce838f51f5349d2b2c25241
a1dddf507ce838f51f5349d2b2c25241Nunzio Meli
 

Similar to Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone cautero (20)

Analisi e prototipazione di un sistema di streaming per la localizzazione in ...
Analisi e prototipazione di un sistema di streaming per la localizzazione in ...Analisi e prototipazione di un sistema di streaming per la localizzazione in ...
Analisi e prototipazione di un sistema di streaming per la localizzazione in ...
 
Andrea_Gangemi_tesi
Andrea_Gangemi_tesiAndrea_Gangemi_tesi
Andrea_Gangemi_tesi
 
Public Light Manager - Una GUI per la gestione remota di un impianto di illum...
Public Light Manager - Una GUI per la gestione remota di un impianto di illum...Public Light Manager - Una GUI per la gestione remota di un impianto di illum...
Public Light Manager - Una GUI per la gestione remota di un impianto di illum...
 
Studio e sviluppo di una soluzione circuitale per la simulazione di un rivela...
Studio e sviluppo di una soluzione circuitale per la simulazione di un rivela...Studio e sviluppo di una soluzione circuitale per la simulazione di un rivela...
Studio e sviluppo di una soluzione circuitale per la simulazione di un rivela...
 
Progettazione di universal active filters e realizzazione di un software per ...
Progettazione di universal active filters e realizzazione di un software per ...Progettazione di universal active filters e realizzazione di un software per ...
Progettazione di universal active filters e realizzazione di un software per ...
 
2013_10_Felici.PDF
2013_10_Felici.PDF2013_10_Felici.PDF
2013_10_Felici.PDF
 
2013_10_Felici.PDF
2013_10_Felici.PDF2013_10_Felici.PDF
2013_10_Felici.PDF
 
Analisi e realizzazione di uno strumento per la verifica di conformità su sis...
Analisi e realizzazione di uno strumento per la verifica di conformità su sis...Analisi e realizzazione di uno strumento per la verifica di conformità su sis...
Analisi e realizzazione di uno strumento per la verifica di conformità su sis...
 
Tesi: Progetto e realizzazione di un sistema robusto di gestione dei dati per...
Tesi: Progetto e realizzazione di un sistema robusto di gestione dei dati per...Tesi: Progetto e realizzazione di un sistema robusto di gestione dei dati per...
Tesi: Progetto e realizzazione di un sistema robusto di gestione dei dati per...
 
Analisi e sviluppo di un sistema collaborativo simultaneo per la modifica di ...
Analisi e sviluppo di un sistema collaborativo simultaneo per la modifica di ...Analisi e sviluppo di un sistema collaborativo simultaneo per la modifica di ...
Analisi e sviluppo di un sistema collaborativo simultaneo per la modifica di ...
 
Misura della resistenza degli aghi di uno zoccolo usato per il test di moduli...
Misura della resistenza degli aghi di uno zoccolo usato per il test di moduli...Misura della resistenza degli aghi di uno zoccolo usato per il test di moduli...
Misura della resistenza degli aghi di uno zoccolo usato per il test di moduli...
 
Digitalizzazione di un processo industriale
Digitalizzazione di un processo industrialeDigitalizzazione di un processo industriale
Digitalizzazione di un processo industriale
 
NunzioMeliTesi-up1
NunzioMeliTesi-up1NunzioMeliTesi-up1
NunzioMeliTesi-up1
 
Sviluppo di un sistema a microonde finalizzato alla misura del livello dell'a...
Sviluppo di un sistema a microonde finalizzato alla misura del livello dell'a...Sviluppo di un sistema a microonde finalizzato alla misura del livello dell'a...
Sviluppo di un sistema a microonde finalizzato alla misura del livello dell'a...
 
Tesi
TesiTesi
Tesi
 
Algoritmo probabilistico di tipo montecarlo per il list decoding elaborato
Algoritmo probabilistico di tipo montecarlo per il list decoding   elaboratoAlgoritmo probabilistico di tipo montecarlo per il list decoding   elaborato
Algoritmo probabilistico di tipo montecarlo per il list decoding elaborato
 
Tesi Todone
Tesi TodoneTesi Todone
Tesi Todone
 
Prototipazione di una piattaforma di controllo degli accessi fisici cross ven...
Prototipazione di una piattaforma di controllo degli accessi fisici cross ven...Prototipazione di una piattaforma di controllo degli accessi fisici cross ven...
Prototipazione di una piattaforma di controllo degli accessi fisici cross ven...
 
Profilazione utente in ambienti virtualizzati
Profilazione utente in ambienti virtualizzatiProfilazione utente in ambienti virtualizzati
Profilazione utente in ambienti virtualizzati
 
a1dddf507ce838f51f5349d2b2c25241
a1dddf507ce838f51f5349d2b2c25241a1dddf507ce838f51f5349d2b2c25241
a1dddf507ce838f51f5349d2b2c25241
 

Recently uploaded

Giornata Tecnica da Piave Servizi, 11 aprile 2024 | DI DOMENICO Simone
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | DI DOMENICO SimoneGiornata Tecnica da Piave Servizi, 11 aprile 2024 | DI DOMENICO Simone
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | DI DOMENICO SimoneServizi a rete
 
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | ALBIERO Andrea
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | ALBIERO AndreaGiornata Tecnica da Piave Servizi, 11 aprile 2024 | ALBIERO Andrea
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | ALBIERO AndreaServizi a rete
 
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | DISCIPIO Antonio
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | DISCIPIO AntonioGiornata Tecnica da Piave Servizi, 11 aprile 2024 | DISCIPIO Antonio
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | DISCIPIO AntonioServizi a rete
 
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | SERRA Giorgio
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | SERRA GiorgioGiornata Tecnica da Piave Servizi, 11 aprile 2024 | SERRA Giorgio
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | SERRA GiorgioServizi a rete
 
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | ROMANO' Davide
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | ROMANO' DavideGiornata Tecnica da Piave Servizi, 11 aprile 2024 | ROMANO' Davide
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | ROMANO' DavideServizi a rete
 
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | RENZI Daniele
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | RENZI DanieleGiornata Tecnica da Piave Servizi, 11 aprile 2024 | RENZI Daniele
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | RENZI DanieleServizi a rete
 
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | CADEI Giovanni
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | CADEI GiovanniGiornata Tecnica da Piave Servizi, 11 aprile 2024 | CADEI Giovanni
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | CADEI GiovanniServizi a rete
 

Recently uploaded (7)

Giornata Tecnica da Piave Servizi, 11 aprile 2024 | DI DOMENICO Simone
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | DI DOMENICO SimoneGiornata Tecnica da Piave Servizi, 11 aprile 2024 | DI DOMENICO Simone
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | DI DOMENICO Simone
 
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | ALBIERO Andrea
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | ALBIERO AndreaGiornata Tecnica da Piave Servizi, 11 aprile 2024 | ALBIERO Andrea
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | ALBIERO Andrea
 
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | DISCIPIO Antonio
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | DISCIPIO AntonioGiornata Tecnica da Piave Servizi, 11 aprile 2024 | DISCIPIO Antonio
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | DISCIPIO Antonio
 
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | SERRA Giorgio
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | SERRA GiorgioGiornata Tecnica da Piave Servizi, 11 aprile 2024 | SERRA Giorgio
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | SERRA Giorgio
 
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | ROMANO' Davide
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | ROMANO' DavideGiornata Tecnica da Piave Servizi, 11 aprile 2024 | ROMANO' Davide
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | ROMANO' Davide
 
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | RENZI Daniele
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | RENZI DanieleGiornata Tecnica da Piave Servizi, 11 aprile 2024 | RENZI Daniele
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | RENZI Daniele
 
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | CADEI Giovanni
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | CADEI GiovanniGiornata Tecnica da Piave Servizi, 11 aprile 2024 | CADEI Giovanni
Giornata Tecnica da Piave Servizi, 11 aprile 2024 | CADEI Giovanni
 

Progetto, realizzazione e caratterizzazione dell'elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di tdc e primo esperimento con luce di sincrotrone cautero

  • 1. UNIVERSITÀ DEGLI STUDI DI TRIESTE Dipartimento di Ingegneria e Architettura Laurea Magistrale in Ingegneria Elettronica e Informatica Progetto, realizzazione e caratterizzazione dell’elettronica di acquisizione per misure risolte in tempo basate su diverse tipologie di TDC e primo esperimento con luce di sincrotrone. 1 ottobre 2020 Laureando Relatore Marco Cautero Chiar.mo Prof. Sergio Carrato Correlatore Ing. Gabriele Brajnik Dott. Robert Richter Anno Accademico 2019/2020
  • 2. Arriving somewhere but not here. — Steven Wilson – da Deadwing —
  • 3. Indice Introduzione iii 1 Misure risolte in tempo ed energia 1 1.1 Misure di coincidenza . . . . . . . . . . . . . . . . . . . . . . . . . 1 1.1.1 Photoelectron-Photoelectron Coincidence . . . . . . . . . 2 1.1.2 Photoelectron-Photoion Coincidence . . . . . . . . . . . . 3 1.2 Setup sperimentale . . . . . . . . . . . . . . . . . . . . . . . . . . 6 1.2.1 Moltiplicatori di carica . . . . . . . . . . . . . . . . . . . . 6 1.2.2 Analizzatore elettronico e rivelatori bidimensionali . . . . 9 1.2.3 Analizzatore a tempo di volo . . . . . . . . . . . . . . . . 11 2 Time to Digital Converter 14 2.1 TDC basati su contatori . . . . . . . . . . . . . . . . . . . . . . . . 15 2.2 TDC Analogici . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 2.2.1 TDC a singola rampa . . . . . . . . . . . . . . . . . . . . . 17 2.2.2 TDC Time Stretching . . . . . . . . . . . . . . . . . . . . . 18 2.3 TDC Digitali . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 2.3.1 Tapped-Delay-Line . . . . . . . . . . . . . . . . . . . . . . 20 2.3.2 Vernier TDC . . . . . . . . . . . . . . . . . . . . . . . . . . 22 2.4 Confronto . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 3 GPX2 25 3.1 Panoramica . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 3.2 Configurazione e protocolli di comunicazione . . . . . . . . . . . 28 3.3 Apparato iniziale . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 3.4 Algoritmo di ricostruzione del tempo assoluto . . . . . . . . . . . 33 3.5 Formato dati . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 3.6 Caratterizzazione . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 3.6.1 Fenomeni di quantizzazione . . . . . . . . . . . . . . . . . 37 3.6.2 Modalità High Resolution . . . . . . . . . . . . . . . . . . 38 4 Misure su Banco e su linea di Sincrotrone 44 4.1 Misure su banco . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 4.2 Esperimento su CiPo . . . . . . . . . . . . . . . . . . . . . . . . . 49 i
  • 4. INDICE 4.2.1 Apparato sperimentale . . . . . . . . . . . . . . . . . . . . 49 4.2.2 Acquisizione ed elaborazione dati . . . . . . . . . . . . . . 53 4.2.3 Risultati sperimentali . . . . . . . . . . . . . . . . . . . . . 58 5 Progettazione del futuro sistema 62 5.1 PCB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 5.2 Firmware e software. . . . . . . . . . . . . . . . . . . . . . . . . . 67 Conclusioni 72 A VI di acquisizione 74 B Schematici 79 Lista delle Abbreviazioni 87 ii
  • 5. Introduzione Uno dei settori dove negli ultimi anni la ricerca scientifica si sta focalizzando è quello che riguarda lo studio delle evoluzioni temporali dei fenomeni chimico- fisici[1][2][3]. Il forte interesse nelle cosiddette “misure risolte in tempo” ha portato alla realizzazione di sorgenti di luce come i free electron laser, sincrotroni di terza generazione, laser basati su high harmonic generation: tutte macchine caratterizzate dalla capacità di creare impulsi elettromagnetici di durata inferiore al picosecondo, o anche solo di pochi femtosecondi (nel caso dei laser) tramite i quali si vogliono investigare le dinamiche dei fenomeni che avvengono su queste scale temporali. In questo ambito di ricerca trovano un importante spazio le "misure correlate", ovvero esperimenti dove non si vuole solo conoscere il tempo in cui avviene un certo fenomeno, o la sua durata, ma si vuole anche correlare questo evento, sempre nella scala dei pico o femtosecondi, con altri eventi fisici che in qualche modo sono fisicamente legati tra loro. Il laboratorio strumentazione e detector di Elettra è da anni molto attivo su questo argomento ed ha sviluppato un tipo di rivelatore di particelle basato su linee di ritardo, il quale consente di conoscere oltre alla posizione di arrivo delle particelle in esame anche il tempo di arrivo di queste ultime. Sebbene questi rivelatori siano necessari per misure risolte in tempo, le loro attuali prestazioni non sono adeguate per soddisfare le esigenze legate alle misure correlate, in quanto l’elettronica che sta alla base del loro funzionamento non nasce per acquisire tutti i segnali prodotti dall’esperimento. È nata quindi l’esigenza di sviluppare una nuova elettronica che, oltre a gestire i canali necessari per l’acquisizione degli impulsi di un rivelatore, deve essere in grado di acquisire simultaneamente altri segnali, analogici o digitali, e compiere delle operazioni "logiche" per correlarli tra loro. I dispositivi che consentono la misura di intervalli di tempo ad alte risoluzioni vengono chiamati TDC (Time-to-Digital-Converter), e fino a poco tempo fa gli unici oggetti commerciali disponibili in grado di garantire le prestazioni richieste iii
  • 6. INTRODUZIONE (che verranno discusse ampiamente nel prosieguo di questa tesi) erano degli ASIC (Application Specific Integrated Circuit) a quattro canali, che sono alla base del funzionamento dell’elettronica dei rivelatori di Elettra. Sia il numero di canali, sia le prestazioni in termini di risoluzione temporale ed efficienza li rendono poco adeguati a misure correlate. Ciononostante, negli ultimi anni sono comunque state possibili misure di coincidenza nelle quali vengono posti in parallelo due o più sistemi di questo tipo, aumentando così il costo dell’apparato, l’ingombro e la complessità del sistema, dovendo andare anche a sincronizzare in qualche modo diversi dispositivi che sono del tutto indipendenti, per esempio sacrificando dei canali, dedicandoli alla sincronizzazione. Partendo da un confronto con dei fisici esperti nel settore delle misure di coin- cidenza e dai loro suggerimenti, è stato quindi progettato e in parte già realizzato e testato sul campo un nuovo strumento basato su dei nuovi TDC, che ha a dispo- sizione fino a otto canali e che consente di raggiungere risoluzioni competitive con lo stato dell’arte attuale del settore (risoluzione intorno alla decina di picose- condi). La strada che si è deciso di intraprendere prevede di creare uno strumento fortemente modulare in grado di ospitare due diversi tipi di TDC: uno basato su un ASIC prodotto molto recentemente (un’evoluzione del precedente ASIC) ed un altro che invece utilizza un approccio molto originale (studio condotto dal Prof. Angelo Geraci presso il Politecnico di Milano[4][5], con cui ho collaborato nel corso della tesi), che trasforma una FPGA (Field Programmable Gate Array) in un TDC, sfruttando i ritardi di propagazione noti tra le porte logiche, con il vantaggio di avere oltre a precisione di pochi picosecondi anche della logica disponibile per fast processing. La modularità e la possibile interscambiabilità nascono dal fatto che i due approcci hanno pro e contro (che verranno discussi) e, a seconda delle prestazioni richieste, si vuole avere la possibilità di montare o uno o l’altro. La prima parte di questo progetto, che è stata anche il principale argomento di questa tesi, si è concentrata sui TDC del primo tipo, i TDC-GPX2 della AMS [6]: come è stato detto, si tratta di recentissimi prodotti commerciali che rappresentano lo stato dell’arte disponibile sul mercato e nelle fasi iniziali è stato studiato attenta- mente il protocollo di controllo e di comunicazione di questi oggetti, che devono essere gestiti tramite FPGA. Il fatto di voler realizzare una struttura modulare che sia in grado di controllare sia questi dispositivi commerciali sia quelli frutto della collaborazione con il PoliMi (TDC-FPGA) ha reso questa prima fase estremamente iv
  • 7. INTRODUZIONE delicata: infatti in base a questi studi si potrà realizzare un codice, sul lato dei TDC-FPGA, del tutto compatibile con quello di questi dispositivi commerciali. Per questa prima parte di attività ci si è avvalsi inizialmente di una scheda sviluppa- ta internamente con l’idea di familiarizzare con questi dispositivi commerciali, comprendere pienamente la sintassi per il loro controllo ed avere qualcosa che con- sentisse di fare delle prime misure di caratterizzazione sia su banco che sul campo. Nonostante non sia il prodotto definitivo (ovvero la scheda inter-modulare di cui si parlava) questa dà comunque la possibilità di creare e valutare un codice HDL per controllare e gestire due diversi TDC-ASIC posti in parallelo sulla medesima scheda, configuranti in questo modo un sistema ad otto canali (anche i nuovi ASIC presentano solo quattro canali ciascuno). La parte iniziale dell’attività è quindi consistita nel testare sia i dispositivi sia eventuali non idealità della scheda (questo è risultato un punto molto importante che ci ha concesso di raccogliere molte informazioni necessarie per lo sviluppo della scheda definitiva), nella scrittura di codice HDL e di un’interfaccia di alto livello sviluppata in LabVIEW. In seguito a questo primo lavoro di caratterizzazione e studio, partendo dalle esigenze sperimentali delle linee di luce interessate a un utilizzo della scheda negli esperimenti di correlazione temporale, è stato necessario progettare un firmware che potesse da una parte essere compatibile con entrambi i TDC in esame e dall’altra che fosse adeguato alle esigenze sperimentali in previsione di misure di coincidenza da effettuarsi con luce di sincrotrone in un beamtime dedicato. Nello specifico era richiesto che venissero mantenute alcune delle funzionalità dei sistemi già esistenti e la piena compatibilità con l’elettronica che attualmente controlla il rivelatore basato su TDC a quattro canali, ovvero il THR02-TDC del Laboratorio strumentazione e Detector. Oltre a sviluppare il codice per comunicare comandi e dati con entrambi i sistemi TDC, nella fase finale di questo lavoro ci si è concentrati sulla realizzazione del nuovo circuito stampato che ospiterà due TDC-GPX2 (quattro canali ciascuno) e che farà parte di un sistema più grande, interfacciabile anche con il TDC basato su FPGA. Questa scheda è stata progettata grazie alle numerose informazioni ottenute nel preliminare lavoro di studio del comportamento della precedente scheda. L’elaborato presenta cinque capitoli e di seguito ne viene presentata la struttura. Nel primo capitolo verranno introdotte alcune delle esigenze del mondo spe- rimentale che hanno richiesto la realizzazione di un sistema che svolga questo v
  • 8. INTRODUZIONE particolare compito. Inoltre si descriverà un esperimento di coincidenza tenuto su una linea di luce del Sincrotrone Elettra che ha contribuito alla decisione di alcuni parametri di progetto. Successivamente, al fine di rendere più comprensibili le caratteristiche che si sono scelte per il sistema, come anche alcuni dei problemi che si sono verificati durante lo studio di esso, verrà eseguita una panoramica dei principali sistemi per conversione in tempo di eventi. Nel secondo capitolo quindi si analizzerà in particolare l’attuale stato dell’arte di questi dispositivi, il loro principio di funzionamento e i fattori che hanno portato a scegliere certe tipologie di TDC e non altri. Una volta terminata questa panoramica si entrerà nel vivo del lavoro svolto, andando a caratterizzare il principale dispositivo sotto esame: il TDC-GPX2. Anche in questo caso verranno esplicitate le sue principali caratteristiche, il sistema che abbiamo usato per interfacciarci ad esso ed il setup per determinare la risoluzione di questo circuito integrato. Terminata la caratterizzazione, si passerà poi al quarto capitolo dove verranno spiegate le procedure ed i risultati delle misure effettuate sia su banco, sia effettiva- mente sul campo, ovvero sulla linea di luce CiPo (Circular Polarization Beamline) del Sincrotrone. Verranno in particolar modo messe in luce le principali differenze dei risultati conseguiti dai sistemi usati in precedenza rispetto a quelli ottenuti con il nuovo sistema discusso in questo elaborato. Per concludere, nel quinto capitolo ci sarà la descrizione dell’effettivo firmware che verrà in futuro utilizzato dal sistema finale, i protocolli di comunicazione utilizzati e la logica dietro il suo funzionamento. In questo stesso capitolo poi verranno brevemente descritti il sistema finale e la progettazione del circuito stampato che costituirà una parte fondamentale del sistema succitato. vi
  • 9. Capitolo 1 Misure risolte in tempo ed energia L’esigenza di riuscire a studiare le dinamiche di fenomeni chimico/fisici con preci- sioni sempre maggiori ha richiesto, oltre alla realizzazione di sorgenti di luce in grado di generare impulsi con durate inferiori al picosecondo, lo sviluppo strumenti di acquisizione adeguati. Per comprendere meglio quali siano le specifiche neces- sarie per questa classe di strumenti di acquisizione, argomento chiave di questo elaborato, è necessario fare una breve panoramica sulle tecniche di acquisizione relative a una particolare sezione di queste misure dove l’informazione temporale relativa al succedersi degli eventi misurati è assolutamente necessaria, le misure di coincidenza. 1.1 Misure di coincidenza Le misure di coincidenza riguardano la caratterizzazione e le correlazioni delle particelle emesse in seguito all’interazione tra radiazione e materia, particelle che possono essere di svariata natura (elettroni, ioni, atomi o molecole, fotoni) ed energia [7]. Un tipico esempio è quello relativo alla ionizzazione di molecole o atomi in fase gassosa: in questi casi è necessario, per correlare gli eventi, conoscere l’energia e il tempo di arrivo degli elettroni emessi e la massa degli ioni risultanti. È inoltre evidente, in questi fenomeni di ionizzazione, che per poter caratterizzare completamente un processo che produce più di due particelle, come ad esempio la doppia ionizzazione o fenomeni di frammentazione, è necessario rivelare numeri 1
  • 10. CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA sempre maggiori di particelle, e riuscire a correlare tra loro i diversi prodotti è una sfida tecnica e scientifica di grande difficoltà. Solitamente, in questo tipo di esperimenti, il campione che si vuole andare ad analizzare viene eccitato da una sorgente impulsata o continua di fotoni (talvolta vengono utilizzate anche altre particelle, come ad esempio elettroni, ma l’utilizzo di fotoni permette di creare impulsi di durata assai breve, ed inoltre è possibile controllarne energia e polarizzazione con maggior precisione), che a seconda della loro energia e delle caratteristiche del campione provocano una certa reazione, ad esempio la ionizzazione del campione nel caso di stato gassoso. La natura delle particelle che si vuole rivelare a partire da questa reazione è abbastanza varia. Di norma vengono compiuti esperimenti dove vengono acquisite particelle cariche quali elettroni o ioni che, grazie al fatto di essere cariche, possono essere controllate attraverso campi elettrici o magnetici. Però sono spesso necessarie anche informazioni relative a fotoni o atomi/molecole neutre. Vista questa grande diversità delle particelle che talvolta è necessario rivelare sono di conseguenza necessari rivelatori e metodi di acquisizione di natura molto diversa. Due tipologie di esperimenti di coincidenza particolarmente rilevanti per questo elaborato sono quelli relativi alla coincidenza elettrone-elettrone e alla coincidenza elettrone-ione, i quali, in particolare nella fase gassosa, consentono di ottenere informazioni utili e dettagliate. 1.1.1 Photoelectron-Photoelectron Coincidence Il principale utilizzo degli esperimenti di coincidenza elettrone-elettrone (PEPECO) è quello di indagare lo stato energetico di dicationi (cationi formati con la rimozione di due elettroni) nell’istante di ionizzazione. È frequente l’utilizzo di questa tecnica ormai consolidata anche per esperimenti ove si cerca di approfondire gli effetti della correlazione tra gli elettroni durante la loro emissione. Infatti spesso per descrivere la struttura elettronica di materia condensata viene utilizzato il modello delle particelle indipendenti, il quale si basa su approssimazioni che vengono costantemente messe in dubbio da alcuni effetti che si osservano con le sempre più accurate spettroscopie di elettroni, le quali evidenziano effetti di correlazione di più particelle [8]. Il termine PEPECO si riferisce più nel dettaglio all’analisi di elettroni la cui energia si discosta da zero, tramite la quale si cerca anche di determinare come viene distribuita l’energia in eccesso del fotone - rispetto alla soglia di doppia ionizzazione - tra i due elettroni, in particolare se la ionizzazione è avvenuta come 2
  • 11. CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA single o multi-step. Inoltre questa tecnica si avvale anche dell’informazione relativa agli angoli di emissione degli elettroni che consente di conoscere in profondità i meccanismi di ionizzazione. 1.1.2 Photoelectron-Photoion Coincidence La tecnica di coincidenza elettrone-ione (PEPICO) non è basata solo sulla spet- troscopia di elettroni ma anche sulla spettrometria di massa degli ioni. Il fatto di sfruttare entrambe queste misure e correlare i dati ottenuti da esse permette di associare ad ogni ione la corrispondente energia di apparizione (e quindi dà informazioni sui livelli energetici della molecola) oltre che conoscere le energie di dissociazione. Infatti tramite questa tecnica si può osservare, oltre ai meccanismi di reazione, anche i tassi di dissociazione o le energie degli ioni all’inizio della dis- sociazione stessa. Di conseguenza costituisce un importante strumento di analisi della struttura molecolare ed è in particolare la tecnica utilizzata nell’esperimento sulla beamline dove il nostro prototipo è stato testato (Capitolo 5 di questa tesi). Figura 1.1: Classico apparato per misure di coincidenza elettrone-ione La massa (rapporto massa/carica dal quale si evince la massa) degli ioni solita- mente è determinata attraverso la spettrometria di massa a tempo di volo mentre l’energia degli elettroni può essere calcolata ad esempio usando un analizzatore emisferico, all’estremità del quale viene montato un rivelatore adeguato come ad esempio un cross delay anode (CDA), rivelatore che fornisce oltre all’informazione energetica anche quella temporale (nonché quella relativa all’angolo di emissione, quando necessario). In queste misure spesso il tempo di volo degli ioni viene misurato a partire dal momento di impatto degli elettroni sul detector. La velocità di questi ultimi infatti risulta essere diversi ordini di grandezza superiore a quello delle particelle più massive e questo approccio consente di utilizzare sorgenti 3
  • 12. CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA continue di luce (dato che sono gli elettroni a dare l’avvio alla misura e non più gli impulsi di luce), come ad esempio lampade a scarica di gas o sorgenti di luce di sincrotrone che, sebbene impulsate, in questo tipo di esperimenti possono essere considerate come continue viste le distanze temporali (circa 2 ns) tra i vari impulsi. In Fig. 1.1 si può vedere un schema di un apparato per misure di coincidenza PEPICO. Figura 1.2: Spettro di massa degli ioni primari dell’esafluoruro di zolfo (SF6) ottenu- to tramite interazione con fotoni a 50 eV (blu) e 100 eV (rosso) durante l’esperimento su linea di sincrotrone. Sulle ascisse viene indicato il rapporto massa/carica degli ioni osservati, sulle ordinate è presente il numero di conteggi normalizzato rispetto ai conteggi del catione SF5. Il grafico verrà commentato più nel dettaglio alla fine del Capitolo 4, nella sezione dei risultati sperimentali. Per conoscere queste energie è possibile partire dall’energia ℎ𝜈 del fotone responsabile della ionizzazione (in genere nelle sorgenti utilizzate l’intensità è tale che la probabilità di avere ionizzazione da due fotoni è prossima a zero e quindi in questa trattazione si considererà l’interazione del singolo fotone). Se tale energia è minore della soglia di doppia ionizzazione e viene rivelato tramite l’analizzatore un elettrone con energia 𝐸, l’energia assorbita dalla molecola/atomo sarà semplice- mente ℎ𝜈 − 𝐸. Ora, se la minima energia di ionizzazione è 𝐼, lo ione si troverà in uno stato eccitato di energia ℎ𝜈 − 𝐼 − 𝐸, e si potrà diseccitare o tramite emissione di un fotone oppure, se l’energia è abbastanza alta, attraverso una dissociazione dello ione (è anche possibile che si verifichi una redistribuzione di energia interna 4
  • 13. CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA allo ione molecolare facendolo passare da uno stato elettronicamente eccitato ad uno stato fondamentale ma eccitato vibrazionalmente). Figura 1.3: Schema esemplificativo dei processi osservati durante gli esperimenti di coincidenza ione-elettrone su una molecola di metanolo (CH3OH). Nel caso l’energia del fotone sia maggiore dell’energia di doppia ionizzazione chiaramente bisognerebbe tenere conto delle energie 𝐸1 + 𝐸2 da entrambi gli elettroni liberatisi. Come vedremo nel capitolo relativo all’esperimento tuttavia questo è un caso abbastanza raro viste le energie fotoniche utilizzate, e riuscire ad osservare entrambi gli elettroni risulta essere tecnicamente difficile senza un apparato adatto a eseguire misure su più cariche. Restando quindi nell’ipotesi di singola ionizzazione, durante un esperimento, si aumenta l’energia della radiazione ionizzante andando in questo modo ad in- crementare di conseguenza l’energia di eccitazione dello ione e si acquisisce per ogni step di energia lo spettro di massa (Fig. 1.2). Fino a quando l’energia interna rimane bassa, il catione non è in grado di dissociarsi e quindi lo spettro di massa continua a presentare un picco all’ordinata corrispondente alla massa dello ione stesso. Con l’aumentare dell’energia del fotone, sempre più ioni cominciano a dissociarsi fino a quando viene raggiunta un’energia per cui i cationi si dissociano tutti sistematicamente secondo la reazione 𝐴𝐵 + ℎ𝜈 → 𝐴+ + 𝐵 + 𝑒− (dove 𝐴𝐵 5
  • 14. CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA è la molecola mentre 𝐴+ e 𝐵 sono i prodotti della frammentazione del catione 𝐴𝐵+, Fig. 1.3). Andando poi a correlare gli spettri di massa con quelli dell’energia cinetica degli elettroni rivelati si può quindi conoscere le energie ed i meccanismi di dissociazione. 1.2 Setup sperimentale Un altro aspetto che necessita di essere discusso, sempre col fine di avere una più chiara idea dell’ambito in cui il lavoro è stato svolto, riguarda la strumentazione che sulle beamline tipicamente consente di rivelare le particelle descritte nei paragrafi precedenti. Questo capitolo non ha la pretesa di presentare lo stato dell’arte della strumen- tazione utilizzata negli esperimenti di spettrometria di massa, ma vuole solo offrire una breve introduzione su rivelatori e altri apparecchi utilizzati in questo ambito. 1.2.1 Moltiplicatori di carica Negli esperimenti il cui obiettivo è studiare la struttura e altre proprietà intrinseche delle molecole è fondamentale essere in grado di rivelare le singole particelle emesse dalle reazioni di ionizzazione e foto-dissociazione. Nessuna elettronica sarebbe in grado di rilevare singoli elettroni o ioni dotati di energie così basse, quindi è necessario un preliminare processo di moltiplicazione di carica per arrivare a valori misurabili. Questo processo viene eseguito da dispositivi detti "moltiplicatori di carica". Questi si basano sul principio fisico dell’emissione secondaria che consiste nell’emissione da parte di un materiale di "mediamente più di una" particella quando un’altra particella con energia sufficiente impatta sulla superficie o attraversa il materiale stesso (Fig. 1.4). Ogni elettrone, ione o anche fotone1 che entra in un elettro-moltiplicatore provoca quindi una reazione a catena che produce all’uscita dello strumento stesso una carica elettronica proporzionale al numero di moltiplicazioni avvenute, permettendo quindi di rivelare più facilmente la particella. Due esempi di amplificatori di carica sono i Channel Electron Multiplier (CEM, detti anche "Channeltron") ed i Micro-Channel Plate (MCP) (Fig. 1.5). 1Gli ioni positivi ed i fotoni devono avere un’energia abbastanza elevata da poter essere convertiti in elettroni. Solo questi infatti vengono moltiplicati 6
  • 15. CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA Figura 1.4: Illustrazione del fenomeno di emissione secondaria sulla base del quale sono costruiti gli elettro-moltiplicatori. (a) (b) Figura 1.5: a) CEM b) Ingrandimento al microscopio di un MCP. I primi sono solitamente costituiti da tubi in vetro (o materiali ceramici) coperti da un materiale resistivo grazie al quale è possibile generare una caduta di poten- ziale che accelera gli elettroni verso il rivelatore. La caratteristica forma ricurva di questi oggetti è necessaria per evitare che ioni accelerati in questo canale non vadano a colpire le pareti del moltiplicatore generando una nube di elettroni non legata ad una particella entrante ma comunque indistinguibile da un evento reale (ion-feedback). La principale limitazione dei CEM è l’ingombro, infatti a causa delle loro dimensioni viene limitato il numero massimo di canali, perdendo di conseguenza l’informazione spaziale (Fig. 1.6). Per essere in grado di acquisire anche le informazioni spaziali che, come vederemo tra poco, sono utili per ricavare l’energia cinetica degli elettroni, vengono 7
  • 16. CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA Figura 1.6: Sezione e principio di funzionamento di un MCP[9]. Figura 1.7: Esempio delle varie configurazioni di MCP. utilizzati gli MCP. Questi sono dei componenti piatti di varie forme e dimensioni che, a differenza dei CEM, presentano molti micro-canali separati (il diametro di ogni micro-canale è di pochi micron) e permettono quindi di preservare le informazioni spaziali. Un solo MCP è in grado di raggiungere guadagni di 30- 40 dB (10,000 volte tanti elettroni). Un amplificazione del genere tipicamente non è sufficiente per permettere di visualizzare correttamente un impulso e per questo motivo solitamente vengono usati anche due (Chevron assembly) o tre (Z-Stack assembly) MCP in serie. Inoltre, per aumentare ulteriormente il guadagno e prevenire il fenomeno dello ion-feedback, spesso i canali non vengono creati perpendicolarmente al piano di incidenza ma sono inclinati e, in caso di più MCP in serie, si fa in modo che i canali dei diversi MCP creino un percorso a zig-zag, come si può vedere in Fig. 1.7. 8
  • 17. CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA 1.2.2 Analizzatore elettronico e rivelatori bidimensionali Figura 1.8: Analizzatore emisferico a deflessione elettrostatica. Per essere in grado di determinare con una buona risoluzione l’energia degli elettroni fotoemessi vengono utilizzati dei dispositivi chiamati analizzatori elettro- nici, e tra questi l’analizzatore emisferico a deflessione elettrostatica (in inglese hemispherical electron energy analyzer, Fig. 1.8) è il più utilizzato. Questi com- plessi strumenti sono costituiti da due elettrodi concentrici semisferici con diversi raggi. Su tali elettrodi vengono applicate delle tensioni in maniera tale da separare gli elettroni in base alla loro energia cinetica. A seconda delle tensioni applicate sui due elettrodi si va a modificare le energie degli elettroni che raggiungono il detector posto alla fine del percorso ellittico. Più precisamente, se chiamiamo 𝑅1 e 𝑅2 i raggi dei due elettrodi (rispettivamente interno ed esterno), andando ad applicare su questi una differenza di tensione pari a Δ𝑉 = 𝑉2 − 𝑉1 otterremo un campo elettrico radiale con centro quello delle semisfere e di intensità: |𝐸(𝑟)| = − Δ𝑉 𝑅2 − 𝑅2 · 𝑅1𝑅2 𝑟2 Questo campo elettrico andrà a modificare le traiettorie degli elettroni e questi andranno ad incidere sulla parte opposta dell’analizzatore. L’esatto punto di 9
  • 18. CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA incidenza dipenderà, oltre che dall’energia cinetica degli elettroni e dal campo elettrico, anche da altri fattori come ad esempio l’angolo di ingresso degli elettroni. All’uscita di un analizzatore poi verranno usati dei moltiplicatori di carica (se vogliamo mantenere le informazioni spaziali bisogna usare per forza un MCP) per riuscire ad osservare gli elettroni. Figura 1.9: Cross Delay Anode. Una volta separati gli elettroni in base alla loro energia cinetica e moltiplicati con un MCP, bisogna poi capire, andando a vedere il punto di impatto, qual è la loro energia cinetica. Ci sono un certo numero di approcci per determinare la posizione di questi elettroni. Uno dei due approcci più comuni prevede l’utilizzo di uno schermo di fosforo accoppiato ad un charged-coupled device (CCD). Sebbene questo approccio sia molto comune, non consente misure risolte in tempo ed è quindi non utilizzabile nelle misure qui discusse. Un’altra tecnologia che si sta sempre più consolidando tra i rivelatori bidimen- sionali è quella delle cosiddette Delay Line, ovvero rivelatori basati su linee di ritardo, un esempio dei quali si può vedere in Fig. 1.9. Sfruttando la nota velocità di propagazione di un impulso su queste linee è possibile determinare, attraverso opportuna strumentazione, non solo le coordinate di impatto degli elettroni, ma 10
  • 19. CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA Figura 1.10: Single dimension Delay Line. anche il tempo esatto in cui esso è avvenuto. Per dare un’idea di come ciò avvenga, prendiamo in considerazione la linea di ritardo su una dimensione in Fig. 1.10. Supponendo che la linea sia ideale, quindi la velocità di propagazione sia uniforme su tutta la sua superficie e prendendola velocità di propagazione dell’impulso 𝑣0, la lunghezza della linea 𝐿 e il tempo assoluto di arrivo ai due estremi 𝑡𝑠𝑥,𝑡𝑑𝑥 , avremo che: • il tempo𝑇 che un impulso impiega ad attraversare la linea da un capo all’altro è 𝐿/𝑣0. • 𝑣0 (𝑡𝑠𝑥 − 𝑡𝑑𝑥 ) è la distanza che l’impulso ha dovuto percorrere in più per arrivare all’estremo sinistro rispetto a quello destro (e.g. se un impulso parte dall’estremo a destra, 𝑡𝑠𝑥 = 𝑇 e 𝑡𝑑𝑥 = 0, e di conseguenza la lunghezza che l’impulso ha dovuto percorrere in più per arrivare a sinistra sarà chiaramente l’intera lunghezza della linea 𝐿). • l’ascissa di arrivo dell’impulso sarà 𝑥0 = (𝐿 + 𝑣0 (𝑡𝑠𝑥 − 𝑡𝑑𝑥 )) 2 . • il tempo di arrivo è 𝑡0 = 𝑡𝑠𝑥 + 𝑡𝑑𝑥 −𝑇 2 . 1.2.3 Analizzatore a tempo di volo L’ultimo strumento che viene discusso in questo capitolo è l’analizzatore a tempo di volo (Time-Of-Flight mass spectrometer, TOFMS, Fig. 1.11). Questo strumento viene usato principalmente nella spettrometria di massa in quanto consente di calcolare il rapporto massa/carica degli ioni tramite una misura del tempo di 11
  • 20. CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA Figura 1.11: Time of flight mass spectrometer. volo. Per fare ciò, gli ioni dei quali si vuole conoscere questo rapporto vengono accelerati tramite un campo elettrico di intensità nota la cui forza applicata sugli ioni è direttamente proporzionale alla loro carica totale e l’accelerazione finale è inversamente proporzionale alla massa degli stessi. Il TOF viene misurato a partire dal momento in cui gli ioni vengono creati e termina quando questi impattano su un rivelatore (anche in questo caso viene usato un MCP) posto ad una distanza nota. Esistono diverse tipologie di analizzatori a tempo di volo. Un possibile ap- proccio consiste nel suddividere lo strumento in due regioni, una di accelerazione dove appunto viene imposto il campo elettrico, e una regione priva di campo di lunghezza 𝐿 chiamata regione di deriva (Drift-Region). Dal momento che in questa regione non viene applicato nessun campo, la velocità degli ioni 𝑣 sarà pari alla velocità di uscita dalla regione di accelerazione ed essendo costante può essere calcolata come 𝐿/𝑡, dove 𝑡 è il tempo di volo relativo alla sola parte di drift. A partire quindi da questo, eguagliando l’energia iniziale dello ione di carica 𝑞 dovuta al potenziale 𝑈 del campo elettrico 𝐸𝑝 = 𝑞𝑈 con l’energia cinetica 𝐸𝑘 = 1 2 𝑚𝑣2 ed andando a sostituire il valore della velocità trovato avremo: 𝑞𝑈 = 1 2 𝑚 𝐿 𝑡 2 Il rapporto massa su carica sarà quindi: 𝑚 𝑞 = 2𝑈 𝑡 𝐿 2 La relazione tra il rapporto massa-carica e tempo ricavata in questo modo chiaramente rappresenta un’approssimazione dal momento che non viene preso in considerazione il tempo trascorso nella zona di accelerazione. Inoltre in questi 12
  • 21. CAPITOLO 1. MISURE RISOLTE IN TEMPO ED ENERGIA calcoli non vengono considerati nè la posizione, nè la velocità delle particelle nell’istante in cui avviene la ionizzazione, fattori la cui trattazione esula dagli scopi di questo elaborato. 13
  • 22. Capitolo 2 Time to Digital Converter I Time-to-Digital-Converter, in breve TDC, sono strumenti elettronici digitali uti- lizzati per dare informazioni temporali sugli eventi rilevati con una precisione che, allo stato dell’arte attuale in tale settore, raggiunge i pochi picosecondi. Ori- ginariamente questi dispositivi sono stati progettati con la finalità di misurare l’intervallo di tempo 𝑡𝑚𝑒𝑎𝑠 che intercorre tra due eventi (come ad esempio l’arrivo di particelle su un rivelatore), i quali vengono chiamati rispettivamente START e STOP (illustrato in Fig. 2.1). Figura 2.1: Principio della misura per intervalli temporali Col passare degli anni, oltre a migliorare le prestazioni ed aumentare il numero di canali, sui dispositivi di nuova generazione sono anche state implementate nuove modalità di funzionamento, andando a semplificarne la struttura e offrendo una maggior flessibilità nella gestione dei dati. In questo capitolo verranno presentate le principali tipologie di TDC assieme ad alcuni esempi classici di funzionamento. Dato che la misura di un intervallo temporale può fornire anche una misura indiretta di altre grandezze, come ad esempio distanze, i TDC risultano essere strumenti le cui applicazioni spaziano diversi ambiti: come già è stato introdotto nel capitolo precedente, vengono utilizzati per esperimenti di spettrometria di 14
  • 23. CAPITOLO 2. TIME TO DIGITAL CONVERTER massa a tempo di volo o anche, in combinazione con dei rivelatori a cross delay line (CDL), per ottenere immagini bidimensionali - preservando anche l’informazione temporale - dell’impatto degli elettroni; al di fuori di applicazioni inerenti pretta- mente al mondo della ricerca tali dispositivi possono essere utilizzati ad esempio nei sistemi LIDAR (Laser Imaging detection and Ranging) per misurare distanze e concentrazioni di specie chimiche nell’atmosfera [10] oppure per creare sistemi di clock controllabili (GPS clock o GPS disciplined oscillator, GPSDO) per satelliti [11]. 2.1 TDC basati su contatori I sistemi di conversione tempo-digitale più semplici sono costituiti da contatori che vengono incrementati a ogni colpo (rising-edge o falling-edge) di un clock. Con questi TDC "essenziali", l’esito di una misura temporale è un numero intero dato che la quantizzazione è determinata dal periodo del clock. Per misurare singoli eventi, il contatore viene lasciato libero di incrementare e il suo valore verrà registrato al presentarsi di un evento. L’intervallo tra due eventi sarà chiaramente dato dalla differenza dei tempi di arrivo dei due eventi. In alternativa, per misurare un intervallo di tempo tra due eventi consecutivi senza compiere alcuna sottrazione è possibile tenere a zero il contatore fino all’arrivo del primo evento e poi registrare il suo valore all’arrivo del secondo. Per garantire migliori prestazioni in termini di accuratezza anche su intervalli di tempo più lunghi, il segnale di clock solitamente viene generato da un oscilla- tore a cristallo. La semplicità di questo metodo, unito al fatto che gli oscillatori utilizzati solitamente, anche usando phase-locked loop (PLL) per aumentarne la frequenza, non riescono a raggiungere le decine di GHz implicano che difficilmente la risoluzione di tali strumenti possa essere migliore del nanosecondo. Andando ad aumentare la frequenza è necessario modificare la struttura del contatore: i classici contatori binari potrebbero infatti risultare troppo lenti a causa del riporto. Per ovviare questo problema ci sono due possibili soluzioni: implementare un contatore veloce come ad esempio un Carry-lookahead Adder (CLA) oppure adottando un approccio ibrido, dove un contatore veloce (sempre CLA oppure un contatore Johnson in Fig. 2.2) viene utilizzato come prescaler per contare i bit meno significativi e un contatore classico tiene conto dei bit più significativi. 15
  • 24. CAPITOLO 2. TIME TO DIGITAL CONVERTER Figura 2.2: Configurazione di un contatore Johnson a 4 bit. Anche implementando contatori veloci in tecnologia CMOS la velocità di commutazione è determinata dalla capacità tra il pin di gate ed il canale e dalla resistenze, sia del canale, sia delle tracce. Per questo motivo i contatori non vengono utilizzati per misure dove è richiesta un’elevata risoluzione, ovvero solo per misure di tempo cosiddetto grezzo (o 𝑐𝑜𝑎𝑟𝑠𝑒). Il vantaggio di questi contatori consiste nell’essere in grado di misurare anche per lunghi periodi senza andare a complicarne l’architettura. 2.2 TDC Analogici La prima tipologia di strumenti e/o tecniche più sofisticate che sono usate tradizio- nalmente e che verranno esposte sono i Time-to Amplitude Converter (anche Time to Analog Converter, TAC). Come si può capire dal loro nome, questi strumenti non eseguono una conversione nel dominio digitale ma convertono gli intervalli temporali in altre grandezze facilmente misurabili con grande accuratezza, le quali verranno poi digitalizzate. Solo inserendo poi un convertitore analogico digitale (ADC) e scalando opportunamente il risultato è possibile ottenere una misura accurata del tempo, tuttavia gli intervalli misurabili con questa tecnica solitamente non vanno oltre i 200 ns[12]. Per ottenere risoluzioni migliori rispetto a quelle dei contatori, andando quindi oltre il limite dell’oscillatore di riferimento, si possono usare circuiti di interpolazio- ne lineare, ovvero circuiti dove si sfrutta una grandezza che aumenta linearmente. I principali metodi di conversione tempo-analogico sfruttano un integratore di cari- ca (circuito RC, nelle Figure successive rappresentato dai blocchi 1/𝑠) alimentato da una corrente costante durante l’intervallo che si vuole misurare e vengono chiamati 16
  • 25. CAPITOLO 2. TIME TO DIGITAL CONVERTER Figura 2.3: Schema circuitale e diagramma di un TAC a singola rampa. a singola o doppia rampa (quest’ultimo è anche detto metodo "𝑡𝑖𝑚𝑒-𝑠𝑡𝑟𝑒𝑐ℎ𝑖𝑛𝑔"). 2.2.1 TDC a singola rampa Nonostante sia meno elaborato, il metodo a singola rampa (Fig. 2.3) è già in grado di garantire risoluzioni molto più elevate rispetto ai contatori digitali. Prima della misura il condensatore viene scaricato a 0V e successivamente, all’arrivo dell’impulso sul canale di START, una corrente di intensità costante 𝐼1 viene fatta scorrere attraverso di esso e va ad aumentare linearmente la tensione ai suoi capi (questo aumento di tensione rappresenta la cosiddetta rampa "veloce"). La corrente viene mantenuta fino all’arrivo dell’impulso di STOP e quindi la tensione finale che si va ad accumulare ai capi del condensatore è proporzionale all’intervallo temporale da misurare. Questa tensione viene poi convertita tramite un ADC. 17
  • 26. CAPITOLO 2. TIME TO DIGITAL CONVERTER Figura 2.4: Schema di un circuito di conversione tempo-analogico 𝑡𝑖𝑚𝑒- 𝑠𝑡𝑟𝑒𝑡𝑐ℎ𝑖𝑛𝑔[13]. Figura 2.5: Diagramma temporale dei segnali di un TAC a doppia rampa. 2.2.2 TDC Time Stretching L’approccio a doppia rampa incorpora il convertitore A/D nel sistema tramite un secondo integratore (sempre un circuito RC) che viene attivato all’arrivo dell’im- 18
  • 27. CAPITOLO 2. TIME TO DIGITAL CONVERTER pulso di STOP. Il termine 𝑡𝑖𝑚𝑒-𝑠𝑡𝑟𝑒𝑐ℎ𝑖𝑛𝑔 deriva dal fatto che questo integratore è progettato per avere una costante di integrazione ridotta (ad esempio prendendo un condensatore di capacità maggiore o una sorgente di corrente minore) e quindi la rampa di discesa risulta essere molto più "lenta" rispetto alla prima. Se chiamiamo 𝑀 il rapporto delle due capacità 𝐶2/𝐶1 e 𝑁 il rapporto delle correnti 𝐼2/𝐼1 avremo che l’intervallo temporale che inizialmente aveva caricato 𝐶1 viene allungato di un fattore (1 + 𝑀𝑁). Con un fattore di amplificazione abbastanza elevato è possibile misurare il secondo tempo utilizzando un contatore. Rispetto al metodo a singola rampa questo è molto più preciso e robusto a fronte di perturbazioni in quanto non necessita di calibrazione (con un solo condensatore bisogna conoscere la capacità esatta del condensatore e della corrente). Sebbene questo strumento permetta di ottenere risoluzioni nell’ordine dei picosecondi, la durata del secondo intervallo temporale Δ𝑇2 può risultare troppo lungo per molte applicazioni dove si ha un’elevato numero di conteggi al secondo. Un altro importante limite dei sistemi che ricorrono prima alla conversione in una grandezza analogica consiste nel fatto che questi risultano di più difficile integrazione. I circuiti digitali presentano diversi vantaggi se comparati con i circuiti analogici: nel mondo digitale è possibile realizzare circuiti di dimensioni estremamente ridotte e al contempo semplici che svolgono funzioni base. Da questi è possibile implementare in maniera compatta ed economica sistemi di signal pro- cessing anche molto complessi. Ciò, a causa di problemi di area, potenza dissipata e integrità del segnale, non è possibile nella controparte analogica. Inoltre i sistemi analogici sono molto più sensibili a disturbi dovuti al rumore ed interferenze. 2.3 TDC Digitali Per queste e altre motivazioni, negli ultimi anni si è vista una forte migrazione dai TDC di "prima-generazione" ovvero quelli appena visti con tutti i problemi legati all’ambito analogico, ai TDC di "nuova-generazione". Quest’ultimi, anche se solo negli ultimi tempi hanno raggiunto risoluzioni comparabili con i modelli precedenti[14], solitamente possono essere realizzati in due modi: o tramite ASIC, quindi con prodotti specializzati e con limitate funzionalità aggiuntiva, o diretta- mente su FPGA, con l’opportunità di poter programmare direttamente le funzioni di elaborazione dati, rendendo l’intero sistema estremamente flessibile. È possibile 19
  • 28. CAPITOLO 2. TIME TO DIGITAL CONVERTER realizzare un sistema TDC con metodi diversi a seconda della piattaforma (ASIC o FPGA). In questo elaborato verranno presentati solo i principali metodi. 2.3.1 Tapped-Delay-Line Per essere in grado di eseguire misure con risoluzioni più alte del periodo di riferimento è necessario suddividere ulteriormente ogni ciclo di clock. Questo può essere realizzato ad esempio facendo entrare il segnale di START in una serie di buffer in cascata, ciascuno con un certo ritardo costante (in alcune varianti il segnale ritardato è quello del clock stesso). In questo modo vengono generate delle copie del segnale di START con ritardi noti rispetto al segnale originale (ritardi più brevi di un periodo di clock) che entrano poi in celle di memoria ad esempio latch o D flip-flop. Questi segnali vengono poi campionati all’arrivo del segnale di STOP. Questo tipo di architettura prende il nome di Tapped Delay Line. Figura 2.6: Implementazione di una Tapped-Delay-line. In Fig. 2.6 si può vedere un esempio di tapped-delay-line dove ciascun buffer ha un ritardo 𝜏 (chiaramente si tratta di un caso ideale). In questo caso il segnale di START si propaga lungo la serie di buffer fino all’arrivo dello STOP, il quale blocca la propagazione del segnale e fissa lo stato di tutte le celle di memoria. Questo metodo genera un codice a termometro in quanto tutte le celle già sorpassate hanno un valore logico alto, mentre quelle alle quali non è ancora giunto il segnale avranno valore logico basso. Il numero 𝑁 di celle di memoria con uscita alta ci da un’indicazione dell’intervallo di tempo che si vuole misurare Δ𝑇: Δ𝑇 = 𝑁𝜏 + 𝜖 20
  • 29. CAPITOLO 2. TIME TO DIGITAL CONVERTER dove 𝜖 è l’errore di quantizzazione dovuto al fatto che le celle di memoria non possono assumere valori intermedi. Figura 2.7: Implementazione di una Tapped-Delay-line con segnale differenziale. Questo errore può essere diminuito sostituendo ai buffer un semplice inverti- tore CMOS. Tale soluzione, sebbene sia in grado di raddoppiare la risoluzione del TDC (in quanto uno stadio di buffer è formato da due invertitori in serie), com- porta al contempo diverse complicazioni a causa della caratteristica di transizione asimmetrica nei casi di inversione alto-basso o basso-alto generata dalle diverse procedure di realizzazione della parte PMOS ed NMOS e da eventuali variazioni di processo che rendono non lineari le transcaratteristiche. Per ovviare a questo pro- blema vengono utilizzate due catene di invertitori che propagano rispettivamente i segnali di START ed il suo negato e una serie di flip-flop differenziali, come si vede in Fig. 2.7. La asimmetria nella caratteristica degli invertitori viene quindi compensata andando a scambiare i segnali in ingresso ai flip-flop in posizione pari (ciononostante potrebbe comunque presentarsi asimmetria nella propagazione dei due segnali). La principale complicazione dei design a tapped-delay-line consiste nel fatto che il segnale di STOP deve pilotare un alto numero di flip-flop, e per questo è richiesto un buffer-tree che contribuisce alla non omogeneità dei ritardi tra i vari stadi di propagazione. Inoltre per la modalità basata su invertitori, ulteriore attenzione va posta durante la progettazione per creare un sistema quanto più simmetrico possibile. 21
  • 30. CAPITOLO 2. TIME TO DIGITAL CONVERTER 2.3.2 Vernier TDC Figura 2.8: Implementazione di un TDC Vernier. L’ultima tecnica che viene discussa in questo elaborato può essere vista co- me una combinazione tra le tapped-delay-line e gli interpolatori time-stretching. Tramite questo metodo, chiamato metodo (o interpolatore) Vernier, è possibile misurare intervalli (in teoria) arbitrariamente piccoli, superando i limiti dei ritardi intrinseci nella tecnologia CMOS. Questo metodo fa uso di due linee di ritardo, una usata per il segnale di START e una per quello di STOP, dove però i ritardi di propagazione dei buffer di queste due linee, rispettivamente 𝑡𝑑1 e 𝑡𝑑2, sono diversi, in particolare 𝑡𝑑1 è leggermente maggiore rispetto a 𝑡𝑑2. Figura 2.9: Principio di funzionamento di un TDC Vernier basato su linee di ritardo[13]. La risoluzione che si è in grado di raggiungere quindi risulta essere pari a 𝛿𝑡 = 𝑡𝑑1 − 𝑡𝑑2. Durante la misura di un intervallo, all’arrivo del segnale di START, 22
  • 31. CAPITOLO 2. TIME TO DIGITAL CONVERTER questo comincia a propagarsi lungo la prima linea di ritardo. Successivamente anche il segnale di STOP comincia a propagarsi con una velocità maggiore (ad ogni stadio la distanza tra la propagazione dei due segnali diminuisce di 𝛿𝑡). Il secondo impulso si avvicina ad ogni stadio al primo fino ad arrivare ad un punto dove i due saranno in fase. Contando poi il numero 𝑁 di stadi passati, ovvero quelli precedenti allo stadio dove i segnali di START e STOP sono in fase, possibile ricostruire l’intervallo desiderato come Δ𝑇 = 𝑁 (𝑡𝑑1 − 𝑡𝑑2) La risoluzione di questo metodo, come accennato, non dipende dai ritardi di gate ma dalla differenza tra due di questi ritardi, e per questo motivo può essere reso arbitrariamente piccolo, permettendo di andare oltre ai limiti fisici della famiglia logica con cui è implementato. Fissato l’intervallo massimo che si desidera misurare Δ𝑇𝑚𝑎𝑥 , è possibile ricavare il numero 𝑁 di stadi richiesti: 𝑁 = Δ𝑇𝑚𝑎𝑥 𝛿𝑡 = Δ𝑇𝑚𝑎𝑥 𝑡𝑑1 − 𝑡𝑑2 L’aumento degli stadi tuttavia comporta un conseguente aumento dell’area occupata (due buffer ed un flip-flop, senza considerare la logica di controllo) e anche la potenza dissipata. 2.4 Confronto Esistono anche altre tipologie di tecniche che possono essere sfruttate per ottenere sistemi TDC ad alta precisione o con ampio range dinamico che tuttavia non verranno trattate (o perché basate su principi simili a quelli già visti, o perché la loro discussione richiederebbe una trattazione a parte). Come già accennato e come vedremo anche nel capitolo successivo, è anche possibile sfruttare diversi metodi in combinazione tra loro per ottenere sistemi ibridi in grado di misurare con elevate risoluzioni intervalli temporali consistenti. Per le tecniche appena discusse invece viene di seguito riportata una tabella che ne riassume le principali caratteristiche. 23
  • 32. CAPITOLO 2. TIME TO DIGITAL CONVERTER Contatore Time- stretching TDL con in- vertitori Vernier Risoluzione Bassa Alta Media Alta Integrabilità Semplice Difficile Media Media Tempo di la- tenza Nullo Alto Basso Medio Tempo di conversione Basso Alto Basso Medio Tabella 2.1: Tabella riassuntiva di possibili tecnologie per TDC. 24
  • 33. Capitolo 3 GPX2 Dopo aver introdotto le principali modalità di funzionamento dei sistemi di con- versione tempo-digitale, in questo capitolo verrà presentato uno di questi: il TDC_GPX2 della AMS (ex ACAM [6]). Il TDC_GPX2, o semplicemente GPX2, è un TDC a 4 canali in grado di misurare le informazioni temporali in termini di tempo assoluto (non più relativo ad un evento di START esterno come nella versione precedente) per ciascun canale. Questo dispositivo rappresenta un esempio di TDC su ASIC che offre prestazioni di ottimo livello disponibile come prodotto commer- ciale, ed il quale è stato il principale oggetto di studio per questo elaborato, nonché il dispositivo utilizzato per l’esperimento finale. In questo capitolo ne verranno discusse le modalità di funzionamento, assieme a protocolli di comunicazione ed una prima caratterizzazione delle misure. È importante far notare fin da subito che di questo strumento è noto solamente il modo con cui vengono comunicate le informazioni temporali, non come queste vengano ottenute (a parte considerazioni del tutto generali come quelle esposte in precedenza), perciò è possibile incorrere in alcuni fenomeni dei quali si può solamente ipotizzare la causa. 3.1 Panoramica Per funzionare, il GPX2 necessita di un segnale di clock di riferimento, chiamato appunto REFCLK, fornito dall’esterno, il quale viene utilizzato sia come riferimento "grezzo" per gli impulsi di stop entranti da ciascun canale, sia come periodo interno di riferimento (misurato internamente dal GPX2) usato per la ricostruzione del tempo fine. Questo sistema è infatti un TDC ibrido che, grazie a questo utilizzo 25
  • 34. CAPITOLO 3. GPX2 del clock di riferimento, garantisce alte risoluzioni su lunghi periodi di misura. Nella Fig. 3.1, presa dal datasheet del componente, si possono vedere gli elementi fondamentali usati per le misure temporali: • REFID è un contatore di profondità configurabile fino a 24 bit che viene incrementato a ogni colpo del REFCLK. • tREF è il periodo di REFCLK misurato internamente dal TDC. • tSTOP è la misura dell’intervallo di tempo trascorso dall’ultimo colpo di clock all’arrivo di un impulso su un canale. Figura 3.1: Principio di funzionamento del TDC_GPX2 La misura di ogni evento ha come riferimento l’ultimo colpo di REFCLK e, una volta elaborato internamente, produce una coppia di valori, REFID e TSTOP, che costituiscono rispettivamente il tempo coarse e fine. REFID è il valore dell’omonimo contatore che viene registrato all’arrivo dell’evento, mentre TSTOP dipende dal rapporto tra t𝑆𝑇𝑂𝑃 e t𝑅𝐸𝐹 e da un parametro chiamato REFCLK_DIVISIONS secondo la seguente formula: 𝑇𝑆𝑇𝑂𝑃 = 𝑡𝑆𝑇𝑂𝑃 𝐿𝑆𝐵 = 𝑡𝑆𝑇𝑂𝑃 𝑡𝑅𝐸𝐹 · 𝑅𝐸𝐹𝐶𝐿𝐾_𝐷𝐼𝑉𝐼𝑆𝐼𝑂𝑁𝑆 Il valore di REFCLK_DIVISIONS può essere configurato arbitrariamente e fondamentalmente rappresenta il numero di suddivisioni del periodo t𝑅𝐸𝐹 . Ogni piccolo intervallo che si ottiene tramite questa suddivisione rappresenta quindi un LSB e l’informazione temporale fine sarà un multiplo di questo LSB. Come si vedrà anche in seguito, al fine di evitare che gli effetti di quantizzazione influenzino troppo la misura, l’LSB deve essere di molto inferiore alla massima risoluzione 26
  • 35. CAPITOLO 3. GPX2 del GPX2 (è consigliato configurarlo non sopra i 10 ps, idealmente pari a 1 ps). Dalla Fig. 3.2 è possibile vedere alcune scelte classiche dei valori di REFCLK e REFCLK_DIVISIONS in modo da ottenere LSB di 1, 5 o 10 picosecondi. Figura 3.2: Tabella per il calcolo del LSB presa dal datasheet del componente. Il GPX2 possiede anche delle modalità chiamate CHANNEL_COMBINATION che consentono di calcolare direttamente la differenza di tempo tra gli eventi di due canali fissati (o la larghezza di un impulso), riprendendo così il funzionamento già visto di START e STOP. Il fatto di avere per ognuno dei 4 canali il tempo assoluto però consente di preservare più informazioni e quindi garantisce una maggiore flessibilità per quanto riguarda il calcolo di altre eventuali misure (usando la modalità succitata è possibile "correlare" solamente due canali alla volta). Per questo motivo tale modalità non viene utilizzata/discussa. Una funzionalità che invece è stata ampiamente sfruttata è la cosiddetta HI- GH_RESOLUTION. Questa modalità consente di ottenere risoluzioni migliori ritardando internamente i segnali in ingresso e campionandoli nuovamente fi- no ad un massimo di quattro volte, inserendoli ad ogni nuovo campionamento all’interno di una FIFO. Successivamente sui campioni relativi ad uno stesso even- to viene calcolata la media dando un unico risultato con maggiore precisione. L’aspetto negativo delle modalità HIGH_RES (RESx2, RESx4) è che, a causa del ri-campionamento e delle operazioni più complesse che deve compiere il GPX2, aumentano sia i tempi di conversione sia la minima distanza accettabile tra due impulsi consecutivi su uno stesso canale (fino a 100 ns nella modalità con riso- luzione più alta). Tuttavia, nonostante queste limitazioni, questa modalità rende questo integrato molto flessibile poiché permette di scegliere la risoluzione anche in base alla frequenza con cui si verificano degli eventi sui 4 canali: se è noto che la frequenza degli eventi generati durante un esperimento è bassa, si può utilizzare la modalità RESx4, mentre se sono richiesti conteggi molto più alti (nell’ordine dei milioni), si può far gioco sulle modalità RESx2 o RESx1. 27
  • 36. CAPITOLO 3. GPX2 3.2 Configurazione e protocolli di comunicazione Sul GPX2 sono presenti diversi pin per la ricezione e trasmissione di dati/comandi. Figura 3.3: Schema interno del TDC_GPX2 • I pin STOP1-4 (a sinistra in Fig. 3.3) rappresentano gli ingressi dei segnali da "cronometrare" e possono essere pilotati tramite livelli LVDS, oppure, modificando opportune configurazioni, in CMOS. • I pin di output FRAME e SDO (Serial Data Out) da 1 a 4 (a destra in Fig. 3.3) sono pilotati da un buffer LVDS e vengono sincronizzati con l’uscita LCLKOUT (che viene a sua volta preso dai pin LCLKIN). 28
  • 37. CAPITOLO 3. GPX2 • Per comunicare comandi con il GPX2 viene utilizzata un’interfaccia SPI (SCK, MISO, MOSI e SSn più pin di Parity e Interrupt per funzionalità extra, in basso in Fig. 3.3). • Gli altri pin vengono usati per abilitare/disabilitare le uscite, come segnali di clock o per distribuire potenza. (a) (b) Figura 3.4: a) Single Data Rate mode operation b)Double Data Rate mode operation Una volta calcolata l’informazione temporale in termini di REFID e TSTOP, questa viene inviata tramite LVDS dalla coppia di output SDOx e FRAMEx relativa al canale STOPx che ha registrato l’evento. Il pin FRAME rappresenta un flag che viene alzato per indicare l’invio del primo byte di informazione. È possibile inviare i dati una volta per periodo di clock, in corrispondenza del rising edge (Single Data Rate, SDR) o in corrispondenza di rising e falling edge (Double Data Rate, DDR). Nel primo caso, come si evince dalla Fig. 3.4, il pin FRAME relativo ad un canale rimane alto per otto interi periodi di clock LCKIN, mentre nel secondo caso solo per quattro. Il numero totale di bit di informazione è configurabile sia per la parte coarse (da 2 fino ad un massimo di 24 bit), sia per la parte fine (14, 16, 18 o 20 bit) e tali numeri, assieme alla frequenza del clock per l’interfaccia LVDS determineranno il massimo throughput ottenibile. Questo può essere espresso con l’unità di "milioni di campioni per secondo" e si ottiene dividendo la frequenza 29
  • 38. CAPITOLO 3. GPX2 di LCLKIN (in questo caso 200 MHz) per il numero di bit totali generati per ogni evento (questo numero va raddoppiato nel caso si lavori in DDR). In Fig. 3.5 si possono vedere alcuni valori del throughput massimo calcolato in base a LCLKIN e i bit totali di TSTOP e REFID. Figura 3.5: Tabella di esempio per il calcolo del massimo throughput nel caso di comunicazione SDR o DDR. I registri per la configurazione del GPX2 sono organizzati in 17 indirizzi di un byte ciascuno e possono essere modificati attraverso una classica interfaccia SPI con CPHA = 1 e CPOL = 0. Su questa interfaccia sono implementati cinque comandi: • Opcode 0x30: eseguire un reset allo stato di power on (POR); • Opcode 0x80: modificare i registri di configurazione; • Opcode 0x60: leggere i registri di configurazione; • Opcode 0x40: leggere i dati; • Opcode 0x18: cominciare la misura. 3.3 Apparato iniziale Prima di progettare la scheda che fa parte del sistema finale è stato necessario assicurarsi che le specifiche descritte sul datasheet del componente venissero rispettate e soprattutto che fossero adeguate per esperimenti di coincidenza. Con questo fine è stata progettata una PCB (Fig. 3.6) che permette di far operare due GPX2 sincronizzati tra loro in parallelo, creando quindi un sistema in grado di 30
  • 39. CAPITOLO 3. GPX2 ricevere eventi da otto diversi canali. Ciascuno di questi canali entra come un segnale differenziale attraverso una coppia di connettori Hirose U.FL direttamente all’interno dei GPX2 (nel caso di segnali single ended, questi vengono prima fatti passare per una scheda intermedia che esegue la conversione da livello logico LVTTL a LVDS). Per la sincronizzazione invece entrambi i GPX2 fanno uso dello stesso REFCLK generato da un oscillatore a cristallo a 10 MHz e diviso tramite un clock buffer con due uscite LVDS. Figura 3.6: PCB per valutare il funzionamento di due GPX2 in parallelo. Per quanto riguarda la comunicazione, questa scheda è dotata di un connettore HSMC (High Speed Mezzanine Card) ed un modulo SFP (Small Form-factor Plugga- ble transceiver) al quale è collegato un adattatore Ethernet. Tramite il connettore HSMC viene collegata un’FPGA della Altera (modello CYCLONE V GX, montata su una scheda di valutazione della TerASIC) la quale gestisce la comunicazione con i TDC (sia dati sia comandi tramite SPI) e con altri eventuali dispositivi, sempre prima attraverso il connettore HSMC e successivamente via cavo Ethernet. In Fig. 3.7 è possibile vedere uno schema a blocchi semplificato che rappresenta i diversi bus attraverso i quali avviene la trasmissione dati. Oltre agli strumenti appena descritti, in questa fase sono anche stati utilizzi un alimentatore che fornisce la tensione di alimentazione per la schedina di conversio- 31
  • 40. CAPITOLO 3. GPX2 Figura 3.7: Schematico riassuntivo con i vari bus e protocolli di comunicazione. ne LVTTL-LVDS ed un generatore arbitrario di funzioni per simulare gli impulsi in ingresso. Con questo setup è possibile caratterizzare le prestazioni dei due integrati in parallelo. Per inviare i comandi di configurazione via SPI (Power-on reset, read config, ecc.) è necessario premere in un certo ordine i 4 pulsanti presenti sulla scheda ospitante l’FPGA. Ad ognuno di questi pulsanti è associato un comando (ad eccezione del comando di lettura dati dal momento che questa veniva eseguita sul lato LVDS). Per eseguire correttamente una misura con certe impostazioni, i comandi da eseguire sono i seguenti: 1. Inviare il segnale di POR per interrompere eventuali acquisizioni; 2. Scrivere i registri di configurazione con le modalità di acquisizione desiderata (HIGH_RES, REFCLK_DIVISIONS, ecc.); 3. Iniziare la misura; Una volta inviato il comando di inizializzazione misura, i GPX2 cominciano ad inviare i dati via LVDS. Oltre al firmware HDL in grado di comunicare con i due GPX2 è stato necessario implementare anche la parte del codice HDL che rendesse possibile la comunicazione (controllo e trasmissione dati) comunicazione con un computer. 32
  • 41. CAPITOLO 3. GPX2 È stato quindi integrato nel firmware un modulo Verilog che implementa un core UDP (anche in queso caso partendo da un firmware già sviluppato in precedenza sempre all’interno del laboratorio strumentazione e detector) e che si appoggia al modulo di Triple Speed Ethernet (TSE) generato dalla Megafunction di Altera, il quale, sfruttando transceivers già presenti in hardware all’interno della CYCLONE V, implementa il livello MAC permettendo di interfacciarsi con il connettore SFP. Tutti questi moduli sono stati inseriti all’interno di un modulo wrapper. Grazie all’UDP core sono state create due porte (10001, 10002) utilizzate per interfacciarsi con un computer, una riservata alla trasmissione dei comandi e una per la trasmissione dei dati. Successivamente è stato scritto un modulo che svolgesse la funzione di decoder per i comandi inviati da un computer. Ogni comando è costituito da una stringa di due byte. Per testare che il modulo funzionasse correttamente, i primi comandi sono stati utilizzati per implementare la configurazione da remoto dei GPX, ovvero, inviando questi da un pc, l’FPGA procede con l’invio su SPI dei comandi già visti. In parallelo è stato sviluppato un VI su LabVIEW che permette l’invio di suddetti comandi. Inoltre, alla ricezione di un comando, il modulo decoder invia un flag "ack" o "nack" rispettivamente se il comando è stato riconosciuto o meno. 3.4 Algoritmo di ricostruzione del tempo assoluto Una volta controllato il funzionamento del decoder è stato implementato un primo modulo per encoding ed invio dei dati. Prima di cominciare a scrivere il modulo è stato necessario ragionare sul formato desiderato per i dati: l’informazione doveva essere il più possibile compressa per diminuire il throughput e al contempo doveva contenere tutte le informazioni necessarie per poter ricostruire correttamente su software di alto livello il tempo assoluto e il canale che aveva registrato l’evento. Per ricostruire il tempo assoluto dell’arrivo degli eventi (rispetto all’inizio di una finestra di acquisizione), tutti i segnali vengono riferiti al REFCLK di 10 MHz. Per testare i GPX2 si è deciso di impostare la larghezza del contatore REFID a 4 bit (quindi con valori che vanno da 0 a 15) e quella di TSTOP a 20 bit per fare in modo che i dati per ogni evento (REFID + TSTOP) fossero di 3 byte esatti e affichè il bin potesse essere di 1 ps1. Con questi valori si verifica un overflow di REFID ogni 1,6 us e utilizzando semplicemente questi contatori non sarebbe possibile ricostruire correttamente il tempo assoluto di eventi separati da un intervallo 1Servono almeno 17 bit per contare fino a 100,000. 33
  • 42. CAPITOLO 3. GPX2 maggiore. Per ovviare a questo problema è stato utilizzato un contatore di 32 bit sull’FPGA chiamato window-counter il quale fondamentalmente estende REFID venendo fatto incrementare di 16 ogni 80 colpi del clock sull’FPGA (50MHz). A questo punto, una volta fatta partire l’acquisizione, viene avviato in con- temporanea anche il window-counter. Il primo evento registrato viene salvato come evento di riferimento in base al quale vengono ricostruiti tutti gli eventi seguenti. Chiamando ID𝑟𝑒𝑓 il valore del REFID di questo primo evento, per risalire al tempo di arrivo di un evento rappresentato dalla terna (CHx, REFID𝑛, TSTOP𝑛) è possibile utilizzare la seguente formula. 𝑡𝑎𝑏𝑠 [𝑝𝑠] = (𝑤𝑖𝑛𝑑𝑜𝑤_𝑐𝑜𝑢𝑛𝑡𝑒𝑟 + (𝑅𝐸𝐹𝐼𝐷𝑛 − 𝐼𝐷𝑟𝑒𝑓 )𝑚𝑜𝑑16) · 100, 000 +𝑇𝑆𝑇𝑂𝑃𝑛 Figura 3.8: Esempio schematico di una possibile ricostruzione errata del tempo dovuta alla non sincronia dei diversi clock utilizzati. Questa formula non tiene conto del fatto che REFCLK per i GPX2 ed il clock che pilota window counter non sono sincronizzati e per questo in una singola finestra di questo secondo clock possono verificarsi eventi con due REFID diversi come si può vedere in Fig. 3.8. Questo risulta particolarmente problematico nelle finestre dove si verifica l’overflow. Per risolvere questo problema è stato necessario applicare un controllo basato sugli ID: se sull’FPGA è appena stato incrementato il window-counter e viene ricevuto un evento con REFID pari a ID𝑟𝑒𝑓 − 1 e TSTOP𝑛 elevato al posto di ID𝑟𝑒𝑓 come ci si aspetterebbe, vuol dire che il fronte del REFCLK è leggermente in ritardo rispetto al fronte di clock a 50 MHz e per questo per ottenere il tempo effettivo di questi eventi bisogna sottrarre 1,6 us. Per contro, se REFCLK fosse in anticipo è 34
  • 43. CAPITOLO 3. GPX2 Figura 3.9: Foto del sistema con patch (due cavi neri con connettori U.FL-SMA) per iniettare il clock esterno. necessario aggiungere 1,6 us agli eventi che vengono registrati a cavallo di una finestra con ID𝑟𝑒𝑓 + 1 . Infine, durante le prime letture dei dati si è osservato che, nonostante queste correzioni, dopo un certo intervallo di tempo di acquisizione (circa una decina di millisecondi) si verificavano comunque eventi la cui ricostruzione avveniva in modo errato. Ciò è dovuto al fatto che, per quanto fossero precisi i due clock, questi non sono sincronizzati e per questo dopo un certo intervallo dall’inizio dell’acquisizione lo sfasamento è troppo elevato. Questo problema è stato inizialmente arginato andando ad aggiornare periodicamente l’ID𝑟𝑒𝑓 . Successivamente è stata aggiunta una patch che permette di pilotare i GPX2 ed il contatore window-counter con clock sincronizzati generati da un generatore di funzioni a parte (Fig. 3.9). Dopo questa modifica il sistema ha funzionato correttamente. 3.5 Formato dati Dal momento che la minima distanza temporale tra due impulsi consecutivi su uno stesso canale di un GPX2 è di 20 ns (f = 50 MHz), è facile vedere che la massima 35
  • 44. CAPITOLO 3. GPX2 frequenza con cui gli eventi possono entrare nell’FPGA è pari a 50 MHz per ciascun canale. Per questo motivo se si vuole che l’interfaccia Gigabit ethernet sia in grado di inviare le informazioni relative a ciascun evento è necessario che per ogni dato vengano inviati meno di 20 bit. Purtroppo, considerando che i GPX2 erano configurati per inviare 20 bit per l’informazione TSTOP e 4 bit di REFID, questo risulta già impossibile. La soluzione che si è deciso di adottare prevede l’invio di 7 byte per ogni evento (Fig. 3.10). I primi 32 bit sono costituiti dal REFID (esteso grazie al window-counter) ai quali seguono 20 bit di informazione fine ed infine 4 bit per identificare il canale di provenienza. In questo modo è stato possibile semplificare sia il firmware sia il software in quanto la lunghezza di ogni informazione è costante e non dipende dal numero di canali che hanno osservato un evento. Figura 3.10: Formato dati modificato. Gli eventi vengono raccolti all’interno di una FIFO e, una volta raggiunto un certo limite (impostato arbitrariamente a 7000 Byte) o terminata la finestra di acquisizione, vengono inviati tramite jumbo frame via UDP. 3.6 Caratterizzazione Per valutare le prestazioni di questo sistema sono state eseguite delle misure con l’apparato descritto in precedenza che hanno permesso di determinare la risoluzione temporale dei GPX2 nelle modalità RESx1, RESx2 e RESx4 a frequenze e durate variabili, evidenziando talvolta alcuni comportamenti interessanti. Come prima cosa, dal momento che questi TDC vengono utilizzati principalmente per misurare gli intervalli di tempo tra coppie di canali (ad esempio con rivelatori CDA), è stato scritto un VI per ricostruire i dati e successivamente visualizzarli. Questo VI permette di visualizzare i dati provenienti dal sistema in tre diversi modi: come stream raw dei dati come vengono ricevuti su UDP, su un grafico evento-tempo come rappresentato in Fig. A.1 (massimo 4 canali alla volta tutti sullo stesso grafico o su 4 grafici separati) e su un istogramma per vedere l’eventuale correlazione tra diversi canali. 36
  • 45. CAPITOLO 3. GPX2 3.6.1 Fenomeni di quantizzazione A questo punto con il generatore di funzioni è stato generato un segnale a onda quadra, il quale è stato poi "splittato" passivamente (per evitare di introdurre jitter) su due linee. Queste sono state mandate in ingresso a due canali del sistema e i risultati sono stati tracciati su dei grafici simili a quelli in Fig. 3.11. È possibile vedere due possibili grafici che sulle ascisse presentano il numero di campione mentre in ordinata hanno la differenza dei tempi di arrivo misurati dai due canali. Risulta subito evidente che tali grafici sono decisamente differenti: il grafico in alto è il risultato della differenza tra risultati provenienti da due canali dello stesso GPX2 mentre il grafico sottostante riporta le differenze tra i tempi registrati da due canali provenienti ciascuno da un GPX2 diverso. (a) Intra GPX2, RESx2 (b) Inter GPX2, RESx1 Figura 3.11: Grafici degli intervalli temporali tra diversi canali. Nel grafico a) si osservano fenomeni di quantizzazione interni allo stesso GPX2. 37
  • 46. CAPITOLO 3. GPX2 Figura 3.12: Differenza del tempo di arrivo di impulsi consecutivi su uno stesso canale (opportunamente traslata per essere visualizzata in un intorno di zero). Nel grafico superiore si osservano evidenti effetti dovuti alla quantizzazione che ogni tanto sembrano scomparire (sempre in Fig. 3.11 intorno alla coppia di campioni 15000). Per cercare di capire a cosa sono dovuti questi fenomeni, nello specifico come mai questi non si verificano tra due GPX2 diversi ma solo all’interno dello stesso integrato, sono stati presi in analisi segnali su un singolo canale. In particolare, in Fig. 3.12 si osservano le differenze di campioni successivi provenienti da uno stesso canale . Per visualizzare questi dati in un intorno dello 0, le differenze sono state traslate di 2·107 ps (periodo di arrivo dei segnali, corrispondente a 50 kHz). Come si osserva, non sembra esserci nessuna correlazione tra campioni molto distanti nel tempo e questo spiegherebbe la simile distribuzione casuale osservata tra segnali di due GPX2 diversi. Questo porta ad ipotizzare che gli artefatti di quantizzazione appaiano solo tra eventi verificatisi all’interno dello stesso REFID, ipotesi che spiegherebbe anche i fenomeni di "perdita" della quantizzazione. Tali punti potrebbero infatti essere dovuti a eventi verificatisi a cavallo tra due diversi REFID. Secondo questa ipotesi, considerando che il clock degli eventi (50 kHz) e REFCLK sono costanti, dovrebbero verificarsi con una certa periodicità, mostrando quindi un fenomeno di battimenti tra i due clock. In Fig. 3.13 è visibile tale periodicità. 3.6.2 Modalità High Resolution Un’altra caratteristica che si è voluto approfondire è la funzionalità di alta risoluzio- ne. Come già accennato, questa modalità ritarda internamente i segnali in ingresso, quindi li campiona nuovamente e calcola la media dei vari campionamenti (uno 38
  • 47. CAPITOLO 3. GPX2 Figura 3.13: Visualizzazione del fenomeno dei battimenti su un intervallo di 5 secondi. o tre campionamenti aggiuntivi). Per valutare quindi l’eventuale miglioramento delle prestazioni di queste modalità sono state raccolte, come nel caso precedente, le differenze tra due canali, questa volta però sono stati accumulati ed inseriti su istogrammi. Infine, sempre attraverso il VI di elaborazione, è stato eseguito un fit gaussiano degli istogrammi e per ogni gaussiana è stata calcolata l’ampiezza a mezza altezza (Full Width Half Maximum, FWHM). Per ogni modalità di risoluzione (RESx1, RESx2 e RESx4) sono stati acquisiti dati per un secondo in tre modi diversi: • single-shot, come visto nei casi precedenti, vengono confrontati i segnali consecutivi provenienti da uno stesso canale. • START-STOP intra GPX2, dove vengono considerati gli intervalli di tempo su due canali separati di GPX2 diversi. • START-STOP intra GPX2, dove vengono considerati gli intervalli di tempo su due canali separati dello stesso GPX2. I grafici nelle Figure 3.14 e 3.15 non presentano caratteristiche particolari mentre in Fig. 3.16 si può osservare l’effetto delle modalità di alta risoluzione sugli artefatti di quantizzazione. Nel grafico corrispondente alla risoluzione normale si osserva che le colonne degli istogrammi distano ∼44 ps gli uni dagli altri mentre nei grafici sottostanti, corrispondenti alle modalità di doppia e quadrupla risoluzione, si ha che questa distanza diventa rispettivamente ∼22 ps e ∼11 ps. Come già detto, 39
  • 48. CAPITOLO 3. GPX2 Figura 3.14: In blu si osservano gli istogrammi della distribuzione della differenza temporale (traslati opportunamente sull’asse delle ascisse) di impulsi consecutivi a 500 kHz su uno stesso canale di un GPX2, mentre in verde e rosso è la gaussiana derivante dall’operazione di fit. per ognuna di queste acquisizioni è stata anche calcolata la FWHM. Nella Tabella 3.1 vengono riportati i principali risultati delle misure. 40
  • 49. CAPITOLO 3. GPX2 Figura 3.15: In blu si osservano gli istogrammi della distribuzione della differenza temporale di impulsi a 500 kHz su due canali di due GPX2 diversi, mentre in verde e rosso è la gaussiana derivante dall’operazione di fit. Dai dati risulta che in tutte e tre le diverse modalità di acquisizione (single-shot, inter e intra) si ottengono prestazioni più o meno simili con la stessa risoluzione. 41
  • 50. CAPITOLO 3. GPX2 Figura 3.16: In blu si osservano gli istogrammi della distribuzione della differenza temporale di impulsi a 500 kHz su due canali di uno stesso GPX2, mentre in verde e rosso è la gaussiana derivante dall’operazione di fit. Solo la modalità con due canali di uno stesso GPX2 presenta leggeri miglioramen- ti, tuttavia questo dato potrebbe non essere del tutto esatto dal momento che i 42
  • 51. CAPITOLO 3. GPX2 RESx1 RESx2 RESx4 Single-shot 66,13 45,67 34,84 Inter GPX2 72,88 44,90 33,06 Intra GPX2 51,55 30,64 24,9 Tabella 3.1: Tabella delle FWHM in picosecondi per diverse modalità di acquisizione e risoluzioni. fenomeni di quantizzazione hanno complicato il processo di fit gaussiano. Inoltre si osserva che, aumentando la risoluzione si ha che per ogni incremento (da RESx1 a RESx2, o da RESx2 a RESx4) l’ampiezza a mezza altezza viene scalata di circa un fattore 1,4 ( √ 2) con un eguale miglioramento della precisione di questi strumenti. A conclusione di questi test è risultato che la risoluzione dei GPX2 supera di gran lunga le prestazioni dei modelli sui quali sono basati gli attuali strumenti per la conversione tempo-digitale, come ad esempio il GPX, ASIC precedente rispetto ai GPX2, il quale nella modalità di massima risoluzione presenta una FWHM attorno agli 80 ps. Inoltre è stato osservato che i fenomeni che possono verificarsi durante una misura (quantizzazione e perdita di quantizzazione nel caso di eventi a cavallo di due REFID) non determinano un peggioramento delle prestazioni. Uno degli aspetti più critici di questi dispositivi è il minimum pulse-to-pulse spacing che appunto limita la frequenza massima di osservazione degli eventi e che peggiora con l’aumentare della precisione. Questo fattore non è del tutto limitante in quanto nella modalità RESx1 co- munque è possibile ottenere frequenze di 50 MHz. Tuttavia, volendo essere in grado di mantenere elevate precisioni e al contempo essere in grado di ricevere eventi a frequenze più elevate è necessario utilizzare altri strumenti. In particolare, come vedremo meglio nel Capitolo successivo, esistono TDC che permettono di soddisfare entrambi questi requisiti, ovvero in grado di misurare eventi a frequenze intorno ai 100 MHz con risoluzioni comparabili con quelle del GPX2. 43
  • 52. Capitolo 4 Misure su Banco e su linea di Sincrotrone Successivamente alla caratterizzazione degli ASIC è stato necessario, in vista dell’esperimento, preparare il sistema in modo da renderlo più robusto e facile da trasportare senza provocarne la rottura. Il sistema, inizialmente costituito da FPGA, scheda che ospita le GPX2 ed un’ulteriore scheda bi-faccia utilizzata per convertire gli impulsi da LVTTL a LVDS, presentava diversi aspetti critici che avrebbero potuto determinarne la rottura o eventuali malfunzionamenti: • A causa dei vari cavi c’era il rischio che uno di essi venisse tirato oppure che un gesto brusco facesse saltare una delle saldature delle patch (come è successo durante la creazione della base di appoggio); • Per poter utilizzare tutti gli 8 canali serviva un’altra scheda per la conversione LVTTL-LVDS. • Gli integrati che eseguono la conversione necessitano dell’alimentazione a +3.3V e in origine questa doveva essere fornita da un alimentatore ester- no. Sebbene questo non fosse un problema di fondamentale importanza quanto gli altri due, è stato deciso che fosse meglio rimuovere l’ingombro dell’alimentatore e usare una tensione fornita dalla scheda che ospita la FPGA. 44
  • 53. CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE 4.1 Misure su banco Il primo passo è stato prendere una base di alluminio di dimensioni opportune e forarla adeguatamente per poter fissare tutti gli elementi necessari e quindi rendere il sistema più robusto. Successivamente sono stati saldati (ed incollati alla PCB) due cavi, uno all’alimentazione (+3.3V) portata dal connettore HSMC e l’altro a massa per alimentare gli integrati di conversione. Infine, con l’utilizzo di una fresa per la prototipazione di PCB, è stata realizzata la scheda di conversione, sono stati saldati manualmente i componenti e se ne è verificato il corretto funzionamento. In Fig. 4.1 si possono vedere i passi verso il prodotto ultimato. (a) Fase 1 (b) Fase 2 (c) Fase 3 (d) Fase 4 Figura 4.1: a) Apparato iniziale con cui si sono svolte le misure di caratterizzazione degli integrati; b) Lamina in alluminio forata per fissare i vari componenti c) Scheda bi-faccia per la conversione LVTTL-LVDS (senza componenti saldati) d) Apparato finale Per simulare gli impulsi che vengono solitamente osservati durante gli esperi- menti, è stato usato un generatore di impulsi e, usando una punta che simulasse l’impatto di un bunch di elettroni sulla CDL, sono stati eseguiti alcuni test. I quattro segnali uscenti, dopo essersi accertati con un oscilloscopio che non fossero troppo alti da mandare in saturazione gli amplificatori dei 4 canali e al contempo che l’ampiezza fosse abbastanza elevata da permettere ai CFD di eseguire la conver- 45
  • 54. CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE sione, sono stati collegati ad un altro convertitore tempo-digitale (THR02-TDC, progettato sempre all’interno del laboratorio strumentazione e detector, con 4 canali e basato sul GPX, modello precedente rispetto al GPX2) e, il nuovo sistema è stato collegato alle uscite digitali che vengono fornite (CFD interni al THR02-TDC). In questo modo è stato possibile avere un confronto tra i dati forniti dai due sistemi. (a) (b) Figura 4.2: Grafici XY ottenuti cambiando la disposizione delle coppie di canali relative a ciascuna delay line. Per entrambi i grafici le GPX2 hanno lavorato in modalità di risoluzione doppia. a) canali collegati alla stessa GPX2. La griglia è dovuta agli effetti di quantizzazione delle GPX. b) canali collegati a GPX2 diverse. In questo caso la quantizzazione si può osservare come linee sulla diagonale. Inizialmente i dati sono stati rappresentati su un grafico XY, sugli assi del quale venivano riportate le differenze in picosecondi dei tempi di arrivo. Nei grafici in Fig. 4.2 si possono vedere i già noti fenomeni di quantizzazione ad opera delle GPX2. Nel grafico a sinistra sono molto più evidenti in quanto i canali relativi alla linea superiore del detector 2D erano collegati entrambi alla stessa GPX2, mentre nel grafico a destra si osservano tali fenomeni solo come linee diagonali dal momento che due canali di una stessa GPX2 vengono usati uno per misurare le ascisse e l’altro le ordinate. La massima escursione temporale che si può osservare su un canale risultava essere di ±18500 ps. Mettendo in relazione ciò con le dimensioni della CDL, ovvero 47000 micron per lato, si è ottenuto un fattore di conversione da picosecondi a micron (per questioni pratiche si è scelto che un pixel corrispondesse a 10 micron). Successivamente sono stati tracciati gli intensity graph riscalando i dati con questo fattore di conversione (Fig. 4.3). Per verificare l’effettiva correttezza della conversione ci siamo avvalsi della 46
  • 55. CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE Figura 4.3: Intensity graph degli stessi impulsi. Ogni pixel è pari a 10 um. possibilità di eseguire movimenti noti in lungo X e lungo Y con risoluzione del micron grazie a degli opportuni traslatori. La generazione di spostamenti noti lungo i due assi principali ha permesso di calibrare opportunamente il sistema. Questo rapido controllo ha permesso di verificare che i dati in arrivo dall’FPGA fossero verosimili. Una volta acquisiti i dati, sono stati confrontati con le misure che erano state fatte per la caratterizzazione. Anche in questo caso, come già era stato visto in Fig. 4.2, e successivamente in Fig. 4.4, anche dalle misure su banco si possono osser- vare fenomeni di quantizzazione interni alle GPX2 (in uno determina il pattern a griglia/linee diagonali mentre nell’altro determina la separazione di 22 picosecondi sull’asse delle ordinate). Ancora una volta si vedono degli intervalli di tempo durante i quali sembra che si perda la quantizzazione. Andando ad acquisire per un periodo di tempo più lungo si vede che tali intervalli sono periodici ma in ogni caso non vanno ad intaccare l’accuratezza della misura in quanto i valori si mantengono ragionevolmente attorno al valore medio. Sempre dalla Fig. 4.4 si osserva qualitativamente (ci sono molti più intervalli di 22 ps tra gli eventi) che la risoluzione che si ottiene nella traccia inferiore è peggiore (circa il doppio) rispetto 47
  • 56. CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE Figura 4.4: Grafici ottenuti raccogliendo i segnali alla frequenza di 50 KHz in uscita da due linee di ritardo con le GPX2 in modalità di risoluzione doppia. Sulle ascisse abbiamo gli eventi mentre sulle ordinate c’è la differenza in picosecondi dell’arrivo alle estremità di ciascuna linea. Il grafico in alto è frutto dell’acquisizione dalla linea di trasmissione lungo le x (traccia superiore) per un secondo. Nel grafico in basso si vedono invece i dati della linea delle y (traccia inferiore) per cinque secondi di acquisizione. a quella superiore. Questo è dovuto al fatto che i segnali in arrivo sul rivelatore rag- giungono con difficoltà lo strato inferiore, producendo di conseguenza un impulso con uno scarso rapporto segnale rumore. All’inizio delle misure si registrava che la FWHM per la traccia superiore era attorno ai 48 ps, ovvero leggermente peggiore rispetto al caso ideale, mentre per l’altra traccia la FWHM raggiungeva i 90 ps. Per cercare di migliorare questo aspetto è stata aumentata l’amplificazione dei segnali inferiori (comunque senza andare a distorcere il segnale). Ciò ha portato un miglioramento di circa 30 ps sulla FWHM per le ordinate. 48
  • 57. CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE 4.2 Esperimento su CiPo Dopo essersi assicurati che il sistema fosse completamente funzionante in condi- zioni note ed ideali quali quelle descritte in precedenza, il sistema è stato testato utilizzando luce di sincrotrone. Come è stato scritto già nelle fasi introduttive di questa tesi, la comunità dei fisici che effettua misure di coincidenza con luce di sincrotrone è fortemente interessata allo sviluppo dell’elettronica qui descritta, ed ha collaborato preziosamente nella realizzazione di quest’ultima. Al momento l’elettronica su cui si basano gli esperimenti di coincidenza è costituita dall’assem- blaggio di diversi apparati - un THR02-TDC e un TDC-GPX della ACAM - gestiti da tre diversi computer. Questo apparato, oltre ad essere di difficile gestione e limitato in risoluzione temporale, non consente di avere sotto controllo di un’unica FPGA tutte le misure, rendendo inevitabile un lungo lavoro di post-processing che, in linea di principio, potrebbe invece essere eseguito (almeno in parte) in tempo reale dalla nuova elettronica. È stato deciso quindi di montare la nuova elettro- nica sulla linea di luce dove al momento risiede questo apparato, la linea "CiPo- Circular Polarized", al fine di avere sempre a disposizione uno strumento con il quale fare le debite comparazioni misurando le stesse cose. Al fine di essere certi che il sistema fosse affidabile, sono state svolte delle misure di coincidenza usando come campione l’esafluoruro di zolfo (SF6), molecola già ampiamente studiata e per questo ottimo campione di confronto. La fisica alla base di tale esperimento è già stata discussa nel Capitolo 1 e per questo si passerà direttamente alla descrizione dell’apparato sperimentale e quindi ai risultati sperimentali. 4.2.1 Apparato sperimentale Il sistema mostrato a sinistra in Fig. 4.5 è costituito da un analizzatore elettronico emisferico della Vacuum Generator montato dalla parte opposta di uno spettro- metro di massa a tempo di volo (TOF) rispetto al fascio di luce. Tutto il sistema è inclinato con un angolo di 54.7◦ rispetto al vettore di polarizzazione della luce (polarizzazione orizzontale). Sulla destra invece si può vedere un disegno che schematizza l’apparato. Lo spettrometro è costituito da una regione di estrazione (zona compresa tra l’extractor ed il repeller), una regione di accelerazione che va dall’extractor al tubo di volo ed infine una regione di deriva per gli ioni priva di alcun campo (DT, drift tube). Al termine del DT è presente un "𝑠𝑖𝑛𝑔𝑙𝑒 𝑎𝑛𝑜𝑑𝑒 𝑓 𝑎𝑠𝑡" MCP che è il responsabile di raccogliere le informazioni riguardanti gli ioni. Il tempo di volo degli ioni è determinato dall’intensità del campo dello spettrometro e 49
  • 58. CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE Figura 4.5: Apparato di rivelatori dal rapporto massa/carica degli ioni ed il volume di ionizzazione è indicato sempre in Fig. 4.5 dal puntino rosso. L’analizzatore elettronico VG è montato in maniera tale da "osservare" lo stesso volume attraverso alcune griglie d’oro molto sottili del repeller1 ed è predisposto per trasmettere solo gli elettroni con una certa energia cinetica che verranno poi intercettati da un altro MCP al quale è collegato un detector CDA che permette di ottenere il tempo in cui l’elettrone impatta oltre che la posizione. Il fatto di avere informazioni spaziali su due dimensioni consente di calcolare con più precisione l’energia cinetica degli elettroni all’interno della finestra ammessa. Dato che l’analizzatore ha bisogno lavorare in assenza di campi per non andare a modificare l’energia cinetica degli elettroni, in stato di riposo, sia l’extractor sia il repeller sono posti a tensione nulla. Ogniqualvolta un elettrone raggiunge il detector con la delay-line viene innescato un impulso ad alta tensione che va variare le tensioni sulla coppia extractor-repeller (applicando rispettivamente una tensione negativa ed una positiva) e questo fa in modo che gli ioni vengano accelerati verso lo spettrometro. Questo approccio è corretto in quanto il tempo di volo degli 1tali griglie, presenti anche su extractor e drift tube, permettono di definire un buon campo elettrico e di mantenere le trasmissioni delle particelle al 90%. 50
  • 59. CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE elettroni all’interno dell’analizzatore è molto più breve di quello degli ioni, che possono in prima approssimazione essere considerati quasi "fermi" nel periodo che va dalla ionizzazione all’arrivo degli elettroni sul rivelatore. Il tempo di volo degli ioni viene quindi calcolato a partire dall’impulso HV che, essendo generato a sua volta dagli elettroni, correla la misura dello spettrometro di massa per gli ioni con gli elettroni con energia cinetica nota. Come vedremo successivamente nella sezione relativa all’analisi dei dati, l’obiettivo dell’esperimento sarà quello di ricostruire le associazioni tra elettroni con una certa energia cinetica e i vari tipi di ioni dai quali questi elettroni sono stati emessi. Una delle principali complicazioni che intervengono in questo tipo di espe- rimenti consiste nel fatto che l’analizzatore elettronico non ha modo di rilevare tutti gli elettroni e di fatto l’angolo solido che è in grado di coprire costituisce solo una piccola frazione dell’angolo solido totale (cono con apertura di ∼8◦, ∼0,061 steradianti) mentre lo spettrometro di massa TOF, poiché è in grado di accelerare tutti gli ioni in una certa direzione, riceve la maggior parte delle particelle che vengono a generarsi. Ciò significa che viene a crearsi un enorme divario tra i conteggi ricevuti dai due rivelatori, solitamente di un fattore 103 − 104. È pos- sibile aumentare il numero di particelle ricevute aumentando il flusso, tuttavia questo metodo è limitato superiormente dal numero massimo di ioni che, se trop- po elevato, rischia di consumare troppo l’MCP. Questi ultimi infatti nascono per rilevare fotoni o elettroni, e particelle così "massive" come gli ioni deteriorano rapidamente lo strato moltiplicativo dei canali; ciò è dovuto al fatto che all’entrata degli ioni, questi vendono convertiti in elettroni e l’efficienza di questo processo dipende dall’energia/momento degli ioni (in questo caso intorno ai 3 keV). Il basso numero di elettroni rispetto a quello degli ioni implica che non si può essere sicuri del fatto che un determinato ione sia effettivamente correlabile ad un elettrone con una specifica energia cinetica, ma potrebbe trattarsi anche di un altro ione presente nel volume di ionizzazione non associato ad alcun elettrone che arriva nell’analizzatore. Per questo motivo le acquisizioni classiche per questi tipi di esperimenti, oltre a richiedere un lungo lavoro di "𝑝𝑜𝑠𝑡 𝑝𝑟𝑜𝑐𝑒𝑠𝑠𝑖𝑛𝑔" dei dati per trovare le "associazioni" tra le varie coppie "elettrone ione", vengono a durare anche decine di ore per un totale di centinaia di GB di memoria accumulati al fine di raccogliere una statistica sufficiente. Per cercare di correggere (o limitare in una certa misura) questo problema vengono registrati anche degli eventi (impatto di ioni) il cui impulso originario non è stato innescato dall’arrivo di un elettrone ma da un generatore (il quale viene inserito in un ulteriore canale del sistema) che 51
  • 60. CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE simula l’arrivo di un elettrone alla frequenza di ∼100 Hz chiamato segnale random. Dato che questi eventi sono "artificiali" e non correlati ad alcun elettrone, queste coppie "generatore - ione" servono per avere una stima del fondo da sottrarre alle coppie "elettrone - ione". Figura 4.6: Istrogramma che rappresenta il numero di eventi registrati per ogni canale durante un’acquisizione di 5 minuti. Nei canali 1,3,5 e 6 ci sono i segnali in arrivo dalla CDA, nel canale 2 vengono rappresentati gli ioni. I canali 4,7 ed 8 rappresentano rispettivamente gli impulsi di alta tensione che accelerano gli ioni, gli elettroni (presi da uno dei canali) e l’unione di elettroni con impulsi random a 100 Hz. Quindi, i vari segnali che vengono utilizzati sono i seguenti: • I segnali generati dal rivelatore degli elettroni (4 canali in totale); • l’impulso prodotto all’arrivo degli ioni (1 canale); • Impulsi di alta tensione, ovvero generati sia dagli elettroni, sia quelli prodotti artificialmente (1 canale); • L’impulso che registra l’arrivo dell’elettrone (ricavato dal rivelatore CDL) (1 canale); • L’impulso provocato dall’ "OR" degli impulsi di elettroni e dell’impulso a 100 Hz e segnali random (1 canale); 52
  • 61. CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE per un totale di 8 canali. I canali in uscita dall’impulsatore, quello degli elettroni e quello dato dalla somma di elettroni e segnale a 100 Hz sono necessari per il software di elaborazione dei dati scritto dal Dottor Richter per ricostruire cor- rettamente le coincidenze. In Fig. 4.7 si può vedere uno schema riassuntivo dei collegamenti. Il sistema usato in precedenza faceva uso del vecchio modello delle GPX. Queste schede, a differenza del nuovo modello, avevano un canale di start al quale venivano riferiti gli eventi. Nell’apparato precedente questo impulso era dato con la frequenza di 1 Hz mentre nel nuovo sistema non è necessario in quanto è cambiato il modo in cui vengono registrati i dati. Un aspetto che è stato ampiamente migliorato rispetto al vecchio sistema consiste nel fatto che le nuove schede sono in grado di acquisire campioni senza interruzioni mentre i TDC precedentemente utilizzati avevano un "deadtime", ovvero un certo intervallo temporale all’interno del quale non era possibile registrare eventi. Solitamente per un secondo di acquisizione si avevano circa 800 ms effettivi di acquisizione e 200 ms di "deadtime" 2, ovvero non era possibile ricevere eventi per un quinto del tempo di acquisizione totale (per rendere l’idea, su 10 ore di acquisizione, ovvero durata minima per una classica acquisizione notturna, 2 ore di acquisizione erano perdute. Considerando che questi sono esperimenti relativi a "eventi rari", questo aspetto era particolarmente grave). Inoltre, sebbene l’esperimento in questione in questo particolare caso non sia particolarmente esigente dal punto di vista della risoluzione temporale (e quindi anche energetica), il nuovo sistema, come visto dal capitolo precedente, è in grado di fornire una precisione attorno ai 40 ps (questo nella prima modalità di alta risoluzione), dove le GPX del sistema vecchio non scendono sotto gli 80 ps, di fatto migliorandola di un fattore due. Infine il fatto di dover gestire più dispositivi e doverli sincronizzarli richiedeva l’utilizzo di tre computer diversi, mentre il nuovo sistema può essere gestito da un singolo pc e da un’unica FPGA, che riceve tutte le informazioni temporali e quindi è potenzialmente in grado di eseguire diverse operazioni in tempo reale. 4.2.2 Acquisizione ed elaborazione dati Come si era fatto anche per il protocollo da seguire per trasmettere dati e comandi tra PC ed FPGA, al fine di evitare di dover modificare anche i programmi di 2Questo "deadtime" dipende dal numero di eventi, può essere scelto arbitrariamente e serve al computer di acquisizione per gestire i dati. 53
  • 62. CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE Figura 4.7: Schema a blocchi semplificato acquisizione ed elaborazione che venivano utilizzati dai ricercatori, si è cercato di mantenere un formato simile. Il nuovo software è stato realizzato in collaborazione con l’ing. Stebel sulla falsa riga del programma per testare il sistema su banco. In Fig. 4.8 si possono vedere le due schermate principali di tale programma. Quella in alto costituisce il VI per la comunicazione con il sistema di acquisizione e nell’immagine si possono vedere diversi elementi: 1. Indicatore dello stato di connessione (Not available/connected a seconda del fatto che ci sia comunicazione con l’FPGA). 2. Pulsante per aprire la schermata delle impostazioni della linea. 3. Controllo per la durata dell’acquisizione. 4. Pulsanti per il controllo dell’acquisizione. 5. Indicatori con numero di conteggi per secondo e totali dell’acquisizione. 6. Cronometro e "𝑝𝑟𝑜𝑔𝑟𝑒𝑠𝑠 𝑏𝑎𝑟" per monitorare l’avanzamento dell’acquisizio- ne. Premendo il tasto "START" è possibile far partire un’acquisizione. Il software quindi raccoglierà dati per un intervallo di tempo pari al "Acquisition time" scelto. 54
  • 63. CAPITOLO 4. MISURE SU BANCO E SU LINEA DI SINCROTRONE (a) (b) Figura 4.8: Schermate del software di acquisizione Questo VI è stato usato principalmente in fase di montaggio del sistema sulla linea per controllare che tutti i canali ricevessero correttamente i segnali. Le informazioni sugli indicatori dei conteggi sono state confrontate con gli altri software e in caso 55