SlideShare a Scribd company logo
1 of 120
Download to read offline
Machine & Assembly Language
‫اسمبلی‬ ‫و‬ ‫ماشین‬ ‫زبان‬
‫خدا‬ ‫نام‬ ‫به‬
1
‫دوم‬ ‫فصل‬
‫کامپیوتر‬ ‫ساختار‬
2
‫کامپیوتر‬ ‫ساختار‬
3
‫هر‬‫کامپیوتری‬‫از‬‫واحدهای‬‫ورودی‬‫یا‬‫خروجی‬،‫حافظه‬‫و‬‫پردازشگر‬‫تشکیل‬‫شده‬‫است‬.
‫ساختار‬‫کامپیوتر‬
4
‫کامپیوتر‬ ‫ساختار‬
5
‫افزار‬ ‫سخت‬ ‫و‬ ‫افزار‬ ‫نرم‬ ،‫کاربر‬
6
‫کامپیوتر‬ ‫افزاری‬ ‫سخت‬ ‫قطعات‬ ‫به‬ ‫نگاهی‬
7
Motherboards
8
 Motherboard, Mainboard, Planar, System board
9
10
‫مادربرد‬ ‫ست‬ ‫چیپ‬Chipset
11
‫چیپست‬‫یا‬‫مجموعه‬‫تراشه‬(Set of Chips=Chipset)‫به‬‫مجموعه‬‫از‬‫اجزای‬‫الکترونیکی‬‫در‬‫یک‬‫مدار‬‫مجتمع‬
(IC)‫گفته‬‫می‬‫شود‬‫که‬‫وظیفه‬‫مدیریت‬‫جریان‬‫داده‬‫ها‬‫بین‬،‫پردازنده‬‫حافظه‬‫و‬‫تجهیزات‬‫جانبی‬‫را‬‫بر‬‫عهده‬‫د‬‫ارد‬.
‫چیپست‬‫ها‬‫را‬ً‫ال‬‫معمو‬‫طوری‬‫طراحی‬‫می‬‫کنند‬‫که‬‫با‬‫یک‬‫خانواده‬‫از‬‫پردازنده‬‫ها‬‫کار‬‫کند‬.
‫شمالی‬ ‫پل‬(North Bridge)‫جنوبی‬ ‫پل‬ ‫و‬(South Bridge)
12
‫پل‬‫شمالی‬ً‫ا‬‫مستقیم‬‫به‬CPU‫متصل‬‫بوده‬‫و‬‫وظیفه‬‫هماهنگی‬‫بین‬‫حافظه‬RAM‫و‬CPU‫و‬‫همچنین‬
‫ارتباط‬‫بین‬‫پردازنده‬‫با‬‫کارت‬‫گرافیک‬‫را‬‫بر‬‫عهده‬‫دارد‬.
‫پل‬‫جنوبی‬‫هم‬‫وظیفه‬‫ارتباط‬‫بین‬‫پورت‬‫های‬‫ورودی‬‫و‬‫خروجی‬‫را‬‫برعهده‬‫دارد‬.
13
14
MCH (memory controller hub)
sometimes called the north bridge
ICH (I/O controller hub), also
known as the south bridge
‫شمالی‬ ‫پل‬ ‫حذف‬
15
‫در‬‫طراحی‬‫های‬‫جدید‬‫به‬‫دلیل‬‫عدم‬‫کارایی‬‫پل‬‫شمالی‬‫و‬‫کاهش‬‫سرعت‬،‫پردازنده‬‫این‬‫پل‬‫ارتباطی‬‫حذف‬‫و‬‫در‬
‫داخل‬‫خود‬CPU‫قرار‬‫گرفته‬‫است‬.‫و‬‫مادربرهای‬‫جدید‬‫چیپست‬‫پل‬‫شمالی‬‫ندارند‬.
16
Intel® Z390 Chipset
‫چیپست‬ ‫کننده‬ ‫تولید‬ ‫های‬ ‫شرکت‬chipset manufacturers
17
 Intel
 Via Technologies
 ATI technologies (now owned by AMD)
 Silicon Integrated Systems (SiS)
 AMD
 NVIDIA Corporation.
‫اینتل‬ ‫های‬ ‫چیپست‬
18
https://www.intel.com/content/www/us/en/products/chipsets/view-all.html
‫پردازنده‬ ‫سوکت‬ ‫نوع‬
19
‫سوکت‬‫ها‬‫در‬‫ابعاد‬‫و‬‫هم‬‫تعداد‬‫پین‬‫های‬‫اتصالی‬‫با‬‫یکدیگر‬‫تفاوت‬‫دارند‬.‫نمی‬‫توان‬‫هر‬‫پردازنده‬‫ای‬‫را‬‫ر‬‫وی‬‫هر‬
‫سوکتی‬‫نصب‬‫کرد‬.
 Zero Insertion Force (ZIF) sockets
‫سوکت‬‫های‬PGA
‫سوکت‬‫دارای‬‫حفره‬‫است‬‫و‬‫پین‬‫های‬CPU‫درون‬‫آن‬‫قرار‬‫می‬‫گیرد‬.
‫سوکت‬‫های‬LGA
‫پین‬‫های‬‫اتصال‬‫بر‬‫روی‬‫سوکت‬‫قرار‬‫دارد‬.
‫های‬ ‫سوکت‬ ‫انواع‬AMD‫و‬Intel
20
‫سوکت‬ ‫از‬ ‫ای‬ ‫نمونه‬LGA 775
21
‫اینتل‬ ‫پردازنده‬ ‫های‬ ‫سوکت‬
22
‫های‬ ‫سوکت‬‫پردازنده‬AMD
23
CPU
24
‫در‬‫بازار‬‫ی‬‫پردازنده‬‫اصلی‬‫دو‬‫رقیب‬‫دیرینه‬‫داریم‬‫که‬Intel‫و‬AMD‫نام‬‫دارند‬.
‫پردازنده‬ ‫های‬ ‫هسته‬ ‫تعداد‬(Core)
25
‫با‬‫چند‬‫ای‬‫هسته‬‫شدن‬،‫ها‬‫پردازنده‬‫وظایف‬(Task)‫بین‬‫چند‬‫واحد‬‫پردازشی‬‫به‬‫صورت‬‫موازی‬‫تقسیم‬‫شود‬‫می‬
‫و‬‫سرعت‬‫عملکرد‬‫باال‬‫رود‬‫می‬.
‫رشته‬(Thread)
26
‫ها‬‫رشته‬‫در‬‫واقع‬‫ای‬‫مجموعه‬‫از‬‫ها‬‫دستورالعمل‬‫هستند‬‫که‬‫به‬‫سمت‬‫ها‬‫هسته‬‫فرستاده‬‫شوند‬‫می‬.
‫های‬‫پردازنده‬‫اینتل‬‫با‬‫داشتن‬‫ویژگی‬Hyper Threading‫یا‬‫به‬‫اختصار‬HT‫توانند‬‫می‬‫توسط‬‫هر‬‫یک‬،‫ها‬‫هسته‬
‫چندین‬‫رشته‬‫را‬‫به‬‫صورت‬‫زمان‬‫هم‬‫پردازش‬‫کنند‬.
AMD‫فناوری‬‫مشابهی‬‫به‬‫نام‬Simultaneous Multi-Threading‫را‬‫در‬‫محصوالت‬‫خود‬‫به‬‫کار‬‫گرفته‬
‫است‬.
‫ضریب‬‫پالیر‬‫مولتی‬
27
‫سرعت‬‫کالک‬‫یا‬‫همان‬‫فرکانس‬‫یک‬‫پردازنده‬‫معادل‬‫است‬‫با‬‫حاصلضرب‬‫فرکانس‬‫پایه‬(BCLK)‫که‬‫معموال‬
۱۰۰‫مگاهرتز‬‫است‬)‫در‬‫یک‬‫ضریب‬‫که‬‫با‬‫عنوان‬‫مولتی‬‫پالیر‬(Multiplier)‫شناخته‬‫شود‬‫می‬.‫به‬‫عنوان‬‫مثال‬
‫فرکانس‬‫یک‬‫ی‬‫پردازنده‬۳.۵‫گیگاهرتزی‬‫از‬‫فرکانس‬‫ی‬‫پایه‬۱۰۰‫مگاهرتز‬‫و‬‫ضریب‬۳۵‫به‬‫دست‬‫آید‬‫می‬.
‫اورکالک‬Overclock
28
‫اورکالک‬‫با‬‫تغییرات‬‫در‬‫مشخصات‬‫فنی‬،‫افزارها‬‫سخت‬‫به‬‫منظور‬‫بهبود‬‫عملکرد‬‫سخت‬‫افزار‬‫انجام‬‫می‬‫شود‬.‫ی‬‫کی‬‫از‬
‫های‬‫راه‬‫اورکالک‬‫کردن‬،‫کامپیوتر‬‫دستکاری‬‫در‬‫فرکانس‬‫پایه‬‫و‬‫مولتی‬‫پالیر‬‫پردازنده‬‫است‬.
‫اورکالک‬Overclock
29
‫معموال‬‫در‬‫های‬‫پردازنده‬،‫ارزان‬‫ضریب‬‫مولتی‬‫پالیر‬‫توسط‬‫سازنده‬‫قفل‬(Locked)‫شود‬‫می‬‫و‬‫توان‬‫نمی‬
‫تغییری‬‫در‬‫آن‬‫به‬‫وجود‬‫آورد‬.‫چنانچه‬‫بخواهید‬‫در‬‫این‬‫ها‬‫پردازنده‬‫به‬‫قدرت‬‫بیشتری‬‫دست‬‫پیدا‬‫کنید‬،‫تنها‬
‫توانید‬‫می‬‫اندکی‬(‫کمتر‬‫از‬۱۰‫مگاهرتز‬)‫در‬‫فرکانس‬‫پایه‬‫تغییر‬‫ایجاد‬‫کنید‬‫تا‬‫تان‬‫پردازنده‬‫کمی‬‫تغیی‬‫ر‬‫را‬‫به‬
‫خود‬‫ببیند‬.‫اما‬‫در‬‫هایی‬‫مدل‬‫که‬‫مولتی‬‫پالیر‬‫باز‬(Unlocked)،‫دارند‬‫توانید‬‫می‬‫هم‬‫فرکانس‬‫پایه‬‫و‬‫هم‬
‫ضریب‬‫را‬‫تغییر‬‫دهید‬.‫اعمال‬‫تغییرات‬‫در‬‫پالیر‬‫مولتی‬‫و‬‫فرکانس‬‫مبنا‬‫کار‬‫ای‬‫ساده‬‫نیست‬‫و‬‫به‬‫س‬‫ها‬‫ال‬‫تجربه‬‫و‬
‫کار‬‫عملی‬‫نیاز‬‫دارد‬.
‫اورکالک‬Overclock
30
‫در‬‫تولیدات‬Intel‫هایی‬‫مدل‬‫که‬‫کدشان‬‫به‬K‫ختم‬‫شوند‬‫می‬‫دارای‬‫پالیر‬‫مولتی‬‫باز‬‫هستند‬‫و‬‫در‬‫تولیدات‬AMD
‫حرف‬X‫ی‬‫دهنده‬‫نشان‬‫ضریب‬‫باز‬‫است‬.‫هایی‬‫مدل‬‫مثل‬Core i7-7700K‫اینتل‬‫یا‬Ryzen 7 1800X
‫دی‬‫ام‬‫ای‬‫از‬‫بهترین‬‫های‬‫مدل‬‫روز‬‫هستند‬‫که‬‫پالیر‬‫مولتی‬‫باز‬‫دارند‬.‫دقت‬‫داشته‬‫باشید‬‫که‬‫استفاده‬‫از‬‫یک‬‫پ‬‫ی‬‫ردازنده‬
‫اورکالک‬‫شده‬‫درست‬‫مثل‬‫استفاده‬‫از‬‫خودرویی‬‫است‬‫که‬‫موتور‬‫توربوشارژر‬‫دارد‬.‫با‬‫وجود‬‫این‬‫که‬‫قدرت‬‫بیش‬‫تری‬
‫تحویل‬،‫گیرید‬‫می‬‫شاهد‬‫استهالک‬‫بیشتری‬‫هم‬‫خواهید‬‫بود‬.
‫پردازنده‬ ‫ساخت‬ ‫فناوری‬
31
‫درون‬‫ها‬‫پردازنده‬‫از‬‫ترانزیستورها‬‫و‬‫اتصاالت‬‫منطقی‬‫آنها‬‫با‬‫یکدیگر‬‫تشکیل‬‫است‬‫شده‬.‫این‬‫ترانزی‬‫ستورها‬‫هستند‬
‫که‬‫با‬‫روشن‬‫و‬‫خاموش‬‫شدن‬،‫خود‬‫عملکرد‬‫پردازنده‬‫را‬‫میسر‬‫کنند‬‫می‬.‫در‬‫حالت‬‫کلی‬‫هر‬‫چقدر‬‫تعداد‬‫این‬
‫ترانزیستورها‬‫بیشتر‬،‫باشد‬‫ی‬‫پردازنده‬‫تری‬‫قوی‬‫خواهیم‬‫داشت‬.‫اما‬‫ها‬‫سازنده‬‫هرگز‬‫به‬‫سمت‬‫تر‬‫بزرگ‬‫کردن‬
‫حجم‬‫فیزیکی‬‫پردازنده‬‫روند‬‫نمی‬‫و‬‫سعی‬‫کنند‬‫می‬‫با‬‫تر‬‫کوچک‬‫کردن‬،‫ترانزیستورها‬‫تعداد‬‫بیشت‬‫ری‬‫از‬‫آنها‬‫را‬
‫درون‬‫بندی‬‫بسته‬‫فعلی‬‫بگنجانند‬.
‫شرکت‬Intel
32
‫های‬ ‫پردازنده‬Intel
33
‫خانواده‬ ‫های‬ ‫نسل‬Core
34
 Nehalem microarchitecture (1st generation)
 Sandy Bridge microarchitecture (2nd generation)
 Ivy Bridge microarchitecture (3rd generation)
 Haswell microarchitecture (4th generation)
 Broadwell microarchitecture (5th generation)
 Skylake microarchitecture (6th generation)
 Kaby Lake microarchitecture (7th generation)
 Coffee Lake microarchitecture (8th generation)
 Cannon Lake microarchitecture (9th generation)
 Ice Lake microarchitecture (10th generation)
Desktop and Mobile Processors
35
https://www.intel.com/content/www/us/en/processors/processor-numbers.html
36
8th Generation Intel® Core™ Processor Family
37
Tick-Tock Model
38
‫معرفی‬‫یک‬‫فرآیند‬‫در‬‫مرحله‬Tick
‫عرضه‬‫معماری‬‫جدید‬‫در‬‫مرحله‬Tock
Tick-Tock Model
39
Intel® Core™ i9-9900K Processor
40
 Lithography :14 nm
 # of Cores: 8
 # of Threads: 16
 Processor Base Frequency: 3.60 GHz
 Max Turbo Frequency: 5.00 GHz
 Cache:16 MB SmartCache
 Bus Speed: 8 GT/s DMI3
 TDP: 95 W
 Sockets Supported: FCLGA1151
https://www.intel.com/content/www/us/en/products/processors/core/i9-processors/i9-9900k.html
‫نسل‬ ‫های‬ ‫پردازنده‬9
41 https://www.intel.com/content/dam/www/public/us/en/documents/product-briefs/9th-gen-core-desktop-brief.pdf
42
‫شرکت‬AMD
43
‫های‬ ‫پردازنده‬Desktop
44
AMD Ryzen™ Desktop Processors
45
 AMD Ryzn™
 2nd Generation AMD Ryzen™
 3nd Generation AMD Ryzen™
2nd Generation AMD Ryzen™
46
AMD Ryzen™ 7 Desktop Processors
47
AMD Ryzen™ 5 Processors
48
3nd Generation AMD Ryzen™
49
‫در‬‫نمایشگاه‬CES 2019‫معرفی‬‫گردید‬.
‫تا‬‫اواسط‬‫سال‬2۰۱9‫به‬‫بازار‬‫عرضه‬‫خواهند‬‫شد‬.
‫کامپیوتر‬ ‫ساختار‬
50
‫کامپیوتر‬ ‫درونی‬ ‫سازمان‬
51
‫پردازنده‬
52
‫پردازنده‬‫قلب‬‫هر‬‫سیستم‬‫کامپیوتری‬‫است‬.‫به‬‫طوری‬‫که‬‫کامپیوتر‬‫را‬‫اغلب‬‫به‬‫نام‬‫پردازنده‬‫آن‬‫م‬‫ی‬‫شناسند‬.
‫وظیفه‬‫پردازنده‬‫را‬‫می‬‫توان‬‫در‬‫سه‬‫عمل‬‫خالصه‬‫کرد‬.
‫واکشی‬‫دستور‬(Fetch)
‫قرار‬‫دادن‬‫آن‬‫در‬‫صف‬‫اجرا‬‫و‬‫بازسازی‬‫شمارنده‬‫برنامه‬
‫رمزگشایی‬‫دستور‬(Decode)
‫ترجمه‬‫آدرس‬‫ها‬‫و‬‫واکشی‬‫عملوندهای‬‫آن‬‫از‬‫حافظه‬
‫اجرای‬‫دستور‬(Execute)
‫انجام‬‫محاسبات‬‫مورد‬،‫نیاز‬‫ذخیره‬‫در‬‫حافظه‬‫و‬،‫ثبات‬‫تغییر‬‫وضعیت‬‫فلگ‬‫های‬‫متصل‬‫به‬‫پردازنده‬
‫مثال‬ ‫یک‬ ‫با‬ ‫پردازنده‬ ‫عملکرد‬ ‫تشریح‬
53
‫دستور‬ADD R0 , [5000]‫را‬‫در‬‫نظر‬‫بگیرید‬.‫این‬‫دستور‬‫اطالعاتی‬‫که‬‫در‬‫خانه‬‫حافظه‬‫به‬‫آدرس‬۵۰۰۰
‫قراردارد‬،‫را‬‫با‬‫ثبات‬R0‫پردازنده‬‫جمع‬‫می‬‫نماید‬‫و‬‫نتیجه‬‫آن‬‫را‬‫در‬‫ثبات‬R0‫قرار‬‫می‬‫دهد‬.‫برای‬‫اجرای‬‫این‬
‫دستور‬‫عملیات‬‫زیر‬‫اجرا‬‫می‬‫شوند‬.
‫الف‬.‫دستور‬‫مذکور‬‫از‬‫حافظه‬‫واکشی‬‫یا‬‫خوانده‬‫می‬‫شود‬‫و‬‫در‬‫پروسسور‬‫قرار‬‫می‬‫گیرد‬‫و‬‫پس‬‫از‬‫بررسی‬‫آن‬‫تشخیص‬‫می‬‫ده‬‫د‬‫که‬
‫چه‬‫باید‬‫بکند‬.
‫ب‬.‫چون‬‫برای‬‫اجرای‬،‫دستور‬‫نیاز‬‫به‬‫محتوای‬‫خانه‬‫حافظه‬‫به‬‫آدرس‬۵۰۰۰،‫است‬‫پردازنده‬‫آدرس‬۵۰۰۰‫را‬‫به‬‫حافظه‬‫می‬‫دهد‬‫و‬
‫فرمان‬‫خواندن‬‫به‬‫حافظه‬‫صادر‬‫می‬،‫شود‬‫که‬‫در‬‫نتیجه‬‫محتوای‬‫خانه‬‫حافظه‬‫خوانده‬‫و‬‫به‬‫پردازنده‬‫می‬‫آید‬.
‫ج‬.‫حال‬‫محتوای‬‫خانه‬‫حافظه‬۵۰۰۰‫که‬‫در‬‫پردازنده‬‫قرار‬،‫دارد‬‫با‬‫ثبات‬R0‫جمع‬‫می‬‫شود‬‫و‬‫نتیجه‬‫در‬‫ثبات‬R0‫ذخیره‬‫می‬‫شود‬.
‫درون‬ ‫بلوکی‬ ‫نمودار‬CPU
54
‫حافظه‬ ‫دستیابی‬ ‫زمان‬(Access Time)
55
‫مدت‬‫زمانی‬‫که‬‫طول‬‫می‬‫کشد‬‫که‬‫اطالعاتی‬‫از‬‫حافظه‬‫خوانده‬‫یا‬‫نوشته‬‫شود‬‫زمان‬‫دستیابی‬‫نامیده‬‫می‬‫شود‬.
‫دستور‬ ‫واکشی‬ ‫سیکل‬(Fetch Cycle)
56
‫عملیاتی‬‫که‬‫طی‬‫آن‬‫محتوای‬‫خانه‬‫حافظه‬‫ای‬‫که‬‫آدرس‬‫آن‬‫داده‬‫شده‬‫از‬‫حافظه‬‫خوانده‬‫می‬‫شود‬‫و‬‫وارد‬
‫پروسسور‬‫می‬‫گردد‬‫را‬‫سیکل‬‫واکشی‬‫دستور‬‫می‬‫نامند‬.
‫اجرا‬ ‫سیکل‬(Execution Cycle)
57
‫عملیات‬‫اجرای‬‫دستور‬‫پس‬‫از‬‫قرار‬‫گیری‬‫دستور‬‫در‬،‫پردازنده‬‫که‬‫توسط‬ALU‫اجرا‬‫می‬‫گردد‬‫را‬‫سیکل‬‫اجرا‬
‫می‬‫نامند‬.
‫دستور‬ ‫اجرای‬ ‫زمان‬ ‫یا‬ ‫دستور‬ ‫سیکل‬
58
‫مجموع‬‫سیکل‬‫واکشی‬‫و‬‫سیکل‬‫اجرا‬‫را‬‫سیکل‬‫دستور‬(Instruction Cycle)‫یا‬‫زمان‬‫اجرای‬‫دستور‬
(Execution Time)‫می‬‫گویند‬.‫در‬‫حقیقت‬‫زمان‬‫اجرای‬،‫دستور‬‫مدتی‬‫است‬‫که‬‫یک‬‫دستور‬‫اجرا‬‫می‬
‫شود‬.
‫اجرا‬ ‫سیکل‬+‫سیکل‬‫واکشی‬=‫دستور‬ ‫اجرای‬ ‫زمان‬
‫پردازنده‬ ‫از‬ ‫ساده‬ ‫نمایی‬
59
‫دستورات‬ ‫اجرای‬ ‫سیکل‬
60
‫ثبات‬(Register)
61
‫در‬‫داخل‬‫پردازنده‬،‫مرکزی‬‫حافظه‬‫های‬‫سریعی‬‫به‬‫نام‬‫ثبات‬‫وجود‬‫دارند‬‫که‬ً‫ا‬‫مستقیم‬‫به‬‫واحد‬‫کنترل‬‫و‬‫و‬‫احد‬
‫محاسبه‬‫و‬‫منطق‬‫متصل‬‫هستند‬.‫چون‬‫دستیابی‬‫به‬‫ثبات‬‫ها‬‫سریعتر‬‫از‬‫دستیابی‬‫به‬‫حافظه‬‫است‬،‫د‬‫ستوراتی‬‫که‬
‫فقط‬‫از‬‫ثبات‬‫ها‬‫استفاده‬‫می‬،‫کنند‬‫بسیار‬‫سریعتر‬‫از‬‫دستوراتی‬‫که‬‫از‬‫عملوندهای‬‫آن‬‫در‬‫حافظه‬‫اند‬‫ا‬‫جرا‬‫می‬
‫شود‬.
CPU
62
‫پردازنده‬
63
‫پردازنده‬‫به‬‫دو‬‫بخش‬‫تقسیم‬‫می‬‫شود‬.
‫واحد‬‫محاسبه‬‫و‬‫منطق‬(ALU)
‫عملیات‬‫محاسباتی‬،‫منطقی‬‫و‬‫شیفت‬‫را‬‫انجام‬‫می‬‫دهد‬.
‫واحد‬‫کنترل‬(CU)
‫دستورات‬‫و‬‫داده‬‫ها‬‫را‬‫دریافت‬،‫کرده‬‫آدرس‬‫را‬‫برای‬ALU‫رمزگشایی‬‫می‬‫کند‬.
‫گذرگاه‬(BUS)
64
‫ارتباط‬‫بین‬‫پروسسور‬‫و‬‫هر‬‫یک‬‫از‬‫دستگاه‬‫های‬‫ورودی‬-‫خروجی‬‫و‬‫حافظه‬‫از‬‫طریق‬‫گذرگاه‬‫برقرار‬‫می‬‫ش‬‫ود‬.
‫گذرگاه‬‫آدرس‬
‫گذرگاهی‬‫است‬‫که‬‫پردازنده‬‫آدرس‬‫دستگاه‬‫های‬‫ورودی‬‫و‬‫خروجی‬‫یا‬‫حافظه‬‫را‬‫روی‬‫آن‬‫قرار‬‫می‬‫دهد‬.
‫گذرگاه‬‫داده‬
‫اطالعات‬‫بین‬‫حافظه‬‫و‬‫یا‬‫دستگاههای‬‫ورودی‬‫و‬‫خروجی‬‫با‬CPU‫از‬‫طریق‬‫آن‬‫انتقال‬‫می‬‫یابد‬.
Simple Computer Model
65
RISC and CISC Architectures
66
‫یکی‬‫از‬‫اهداف‬‫مهم‬‫معماری‬،‫کامپیوتر‬‫طراحی‬‫مجموعه‬‫دستورات‬‫برای‬‫پردازنده‬‫است‬.‫مجموعه‬‫دستورات‬‫انتخ‬‫ابی‬
‫برای‬‫یک‬‫کامپیوتر‬‫خاص‬‫تعیین‬‫کننده‬‫ساختار‬‫برنامه‬‫ها‬‫در‬‫زبان‬‫ماشین‬‫آن‬‫است‬.‫کامپیوتر‬‫های‬‫اولی‬‫ه‬‫مجموعه‬
‫دستورات‬‫ساده‬‫و‬‫کوچکی‬‫را‬‫به‬‫علت‬‫محدودیت‬‫های‬‫سخت‬‫افزاری‬‫داشتند‬.‫به‬‫مرور‬‫که‬‫سخت‬‫افزار‬‫دیجیت‬‫ال‬‫با‬
‫ابداع‬‫مدارهای‬‫مجتمع‬‫ارزان‬،‫شد‬‫دستورات‬‫کامپیوتر‬‫از‬‫نظر‬‫پیچیدگی‬‫و‬‫تعداد‬‫رو‬‫به‬‫افزایش‬‫گذاشت‬.‫س‬‫یر‬‫حرکت‬
‫در‬‫پیچیدگی‬‫سخت‬‫افزار‬‫بعلت‬‫فاکتورهای‬‫مختلفی‬‫مانند‬‫ارتقای‬‫مدل‬‫های‬‫موجود‬‫برای‬‫افزایش‬‫زمین‬‫ه‬‫ای‬
‫کاربردی‬،‫افزایش‬‫دستوراتی‬‫که‬‫تبدیل‬‫زبان‬‫های‬‫سطح‬‫باال‬‫را‬‫به‬‫زبان‬‫ماشین‬‫تسهیل‬‫نمایند‬‫و‬‫تال‬‫ش‬‫در‬‫طراحی‬
‫ماشین‬‫هایی‬‫که‬‫توابع‬‫را‬‫از‬‫فرم‬‫نرم‬‫افزاری‬‫به‬‫سخت‬‫افزاری‬‫انتقال‬‫دهند‬،‫بود‬.
‫پیچیده‬ ‫دستورات‬ ‫مجموعه‬ ‫با‬ ‫کامپیوتر‬(CISC)
67
 CISC (Complex Instruction Set Computer)
‫یک‬‫کامپیوتر‬‫با‬‫تعدادی‬‫زیادی‬‫دستور‬،‫را‬‫کامپیوتر‬‫با‬‫مجموعه‬‫دستورات‬‫پیچیده‬‫یا‬‫پر‬‫دستور‬(CISC)‫می‬
‫نامند‬.
‫پیچیده‬ ‫دستورات‬ ‫مجموعه‬ ‫با‬ ‫کامپیوتر‬(CISC)
68
‫هرچه‬‫تعداد‬‫دستورات‬‫در‬‫کامپیوتر‬‫زیاد‬،‫شود‬‫مدارهای‬‫سخت‬‫افزاری‬‫بیشتری‬‫برای‬‫پیاده‬‫کردن‬‫و‬‫پش‬‫تیبانی‬
‫آنها‬‫الزم‬‫است‬‫و‬‫این‬‫سبب‬‫می‬‫گردد‬‫تا‬‫سرعت‬‫محاسبات‬‫کاهش‬‫یابد‬.
‫معماری‬ ‫های‬ ‫مشخصه‬ ‫مهمترین‬CISC
69
‫تعداد‬‫زیاد‬‫دستورالعمل‬(‫معموال‬‫بین‬۱۰۰‫تا‬2۵۰‫دستور‬‫العمل‬)
‫دستورالعمل‬‫هایی‬‫که‬‫کارهای‬‫خاصی‬‫را‬‫انجام‬‫می‬‫دهند‬‫ولی‬‫بندرت‬‫استفاده‬‫می‬‫شوند‬.
‫انواع‬‫متنوعی‬‫از‬‫روش‬‫های‬‫آدرس‬‫دهی‬‫موجود‬‫است‬.
‫قالب‬‫دستورات‬‫با‬‫طول‬‫متغییر‬
‫و‬...
‫یافته‬ ‫کاهش‬ ‫دستورات‬ ‫مجموعه‬ ‫با‬ ‫کامپیوتر‬(RISC)
70
‫کامپیوتر‬‫با‬‫مجموعه‬‫دستورات‬‫کمتر‬‫و‬‫ساختار‬‫ساده‬‫تر‬،‫را‬‫کامپیوتر‬‫با‬‫مجموعه‬‫دستورات‬‫کاهش‬‫یاف‬‫ته‬
(RISC)‫می‬‫گویند‬.‫هدف‬‫عمده‬‫از‬‫معماری‬RISC‫کوتاه‬‫کردن‬‫زمان‬،‫اجرا‬‫با‬‫کاهش‬‫مجموعه‬‫دستورات‬‫در‬
‫کامپیوتر‬‫است‬.
‫معماری‬ ‫های‬ ‫مشخصه‬ ‫مهمترین‬RISC
71
‫دستورات‬ً‫ا‬‫نسبت‬‫کم‬
‫روش‬‫های‬‫آدرس‬‫دهی‬ً‫ا‬‫نسبت‬‫کم‬
‫تمام‬‫اعمال‬‫در‬‫داخل‬‫ثبات‬‫های‬CPU‫انجام‬‫می‬‫شوند‬.
‫و‬...
‫های‬ ‫ریزپردازنده‬80x86
72
‫های‬ ‫ریزپردازنده‬80x86
73
‫های‬ ‫ریزپردازنده‬80x86
74
‫اینتل‬ ‫های‬ ‫پردازنده‬ ‫فنی‬ ‫مشخصات‬
75
‫درون‬8086
76
‫درون‬8086
77
‫درون‬8086
78
‫منظوره‬ ‫همه‬ ‫های‬ ‫ثبات‬(general purpose registers)
79
 AX - the accumulator register (divided into AH / AL).
 BX - the base address register (divided into BH / BL).
 CX - the count register (divided into CH / CL).
 DX - the data register (divided into DH / DL).
 SI - source index register.
 DI - destination index register.
 BP - base pointer.
 SP - stack pointer
‫ثبات‬AX (Accumulator register )
80
‫این‬‫ثبات‬‫در‬‫اعمالی‬‫که‬‫نیاز‬‫به‬‫ورودی‬-‫خروجی‬‫و‬‫محاسبات‬‫زیاد‬‫است‬‫مورد‬‫استفاده‬‫قرار‬‫می‬‫گیرد‬.‫ثب‬‫ات‬AX
‫به‬‫دو‬‫بخش‬‫تقسیم‬‫می‬‫شود‬‫به‬‫طوری‬‫که‬‫بایت‬‫سمت‬‫چپ‬‫را‬‫بخش‬‫باالیی‬(‫تحت‬‫نام‬AH)‫و‬‫بایت‬‫سمت‬
‫راست‬‫را‬‫بخش‬‫پایینی‬(‫تحت‬‫نام‬AL)‫می‬‫نامند‬.
"H" :high
"L" : low
‫ثبات‬ ‫از‬ ‫مثالی‬AX
81
 AX = 0011000000111001
‫ثبات‬BX (Base address register )
82
‫این‬‫ثبات‬ً‫ال‬‫معمو‬‫به‬‫عنوان‬‫اندیسی‬‫برای‬‫توسعه‬‫آدرس‬‫مورد‬‫استفاده‬‫قرار‬‫می‬‫گیرد‬‫و‬‫به‬‫ثبات‬‫پای‬‫ه‬‫معروف‬
‫است‬.‫این‬‫ثبات‬‫در‬‫محاسبات‬‫نیز‬‫بکار‬‫گرفته‬‫می‬‫شود‬.‫ثبات‬BX‫نیز‬‫به‬‫دو‬‫بخش‬BL‫و‬BH‫تقسیم‬‫می‬
‫شود‬.
‫ثبات‬CX (Count register )
83
‫این‬‫ثبات‬‫که‬‫ثبات‬‫شمارنده‬‫نامیده‬‫می‬،‫شود‬‫برای‬‫کنترل‬‫تعداد‬‫دفعات‬‫حلقه‬‫تکرار‬‫مورد‬‫استفاده‬‫قرار‬‫می‬
‫گیرید‬.‫در‬‫اعمال‬،‫شیفت‬‫می‬‫توان‬‫مقداری‬‫در‬‫آن‬‫قرار‬‫داد‬‫که‬‫تعداد‬‫شیفت‬‫را‬‫مشخص‬‫می‬‫کند‬.‫این‬‫ثب‬‫ات‬‫در‬
‫انجام‬‫محاسبات‬‫نیزبه‬‫کار‬‫گرفته‬‫می‬‫شود‬.‫ثبات‬CX‫نیز‬‫به‬‫دو‬‫بخش‬CL‫و‬CH‫تقسیم‬‫می‬‫شود‬.
‫ثبات‬DX (Data register )
84
‫عمل‬‫ضرب‬‫و‬‫تقسیمی‬‫که‬‫با‬‫اعداد‬‫بزرگ‬‫سر‬‫و‬‫کار‬،‫دارند‬‫از‬‫این‬‫ثبات‬‫استفاده‬‫می‬‫کنند‬.‫این‬‫ثبات‬‫در‬‫بعضی‬‫از‬
‫اعمال‬‫ورودی‬-‫خروجی‬‫نیز‬‫بکار‬‫می‬‫رود‬‫و‬‫به‬‫ثبات‬‫داده‬‫ها‬‫معروف‬‫است‬.‫ثبات‬DX‫نیز‬‫به‬‫دو‬‫بخش‬DL‫و‬
DH‫تقسیم‬‫می‬‫شود‬.
‫سگمنت‬
85
‫سگمنت‬‫ناحیه‬‫ای‬‫از‬‫حافظه‬‫است‬‫که‬‫آدرس‬‫شروع‬‫آن‬‫بر‬۱6‫قابل‬‫قسمت‬‫است‬‫و‬‫از‬‫مرز‬‫پاراگراف‬(‫ناحیه‬۱6
‫بایتی‬)‫شروع‬‫می‬‫شود‬.‫اندازه‬‫سگمنت‬‫می‬‫تواند‬‫تا‬64K‫باشد‬.
‫اسمبلی‬ ‫برنامه‬ ‫های‬ ‫سگمنت‬
86
‫هر‬‫برنامه‬‫اسمبلی‬‫می‬‫تواند‬‫تا‬‫چهار‬‫نوع‬‫سگمنت‬‫داشته‬‫باشد‬‫که‬‫عبارتند‬‫از‬
‫قطعه‬‫کد‬(Code Segment)
‫قطعه‬‫داده‬‫ها‬(Data Segment)
‫قطعه‬‫پشته‬(Stack Segment)
‫قطعه‬‫اضافی‬(Extra Segment)
‫کد‬ ‫قطعه‬(Code Segment)
87
‫دستورالعمل‬‫های‬‫زبان‬‫ماشین‬‫که‬‫باید‬‫اجرا‬،‫شوند‬‫در‬‫این‬‫سگمنت‬‫قرار‬‫می‬،‫گیرند‬‫به‬‫طوری‬‫که‬‫اولی‬‫ن‬‫دستور‬
‫اجرایی‬‫برنامه‬‫در‬‫ابتدای‬‫این‬‫سگمنت‬‫قرار‬‫دارد‬.‫اگر‬‫کد‬‫برنامه‬‫بزرگ‬‫باشد‬(‫بیش‬‫از‬64k)‫می‬‫تواند‬‫از‬‫چند‬
‫سگمنت‬‫کد‬‫استفاده‬‫کند‬.
‫ها‬ ‫داده‬ ‫قطعه‬(Data Segment)
88
‫داده‬‫و‬‫ناحیه‬‫های‬‫کار‬‫برنامه‬‫ها‬‫در‬‫این‬‫سگمنت‬‫قرار‬‫می‬‫گیرند‬.‫اگر‬‫برنامه‬‫به‬‫بیش‬‫از‬‫یک‬‫ناحیه‬‫داده‬‫نیاز‬
‫داشته‬،‫باشد‬‫می‬‫تواند‬‫آن‬‫ها‬‫را‬‫تعریف‬‫و‬‫استفاده‬‫کند‬.
‫پشته‬ ‫قطعه‬(Stack Segment)
89
‫این‬‫سگمنت‬‫حاوی‬‫آدرس‬‫های‬‫برگشت‬‫اززیر‬‫برنامه‬‫است‬.‫به‬‫طور‬،‫کلی‬‫هر‬‫نوع‬‫اطالعاتی‬‫که‬‫برای‬‫فراخ‬‫وانی‬
‫زیر‬‫برنامه‬‫ها‬‫الزم‬‫است‬‫در‬‫این‬‫سگمنت‬‫قرار‬‫می‬‫گیرد‬.
‫اضافی‬ ‫قطعه‬(Extra Segment)
90
‫این‬‫سگمنت‬‫برای‬‫انجام‬‫عملیات‬‫بر‬‫روی‬‫رشته‬‫ها‬‫مورد‬‫استفاده‬‫قرار‬‫می‬‫گیرد‬‫و‬‫در‬‫این‬،‫اعمال‬‫برای‬‫مد‬‫یریت‬
‫آدرس‬‫دهی‬‫حافظه‬‫بکار‬‫می‬‫رود‬.
‫اسمبلی‬ ‫برنامه‬ ‫از‬ ‫ای‬ ‫نمونه‬
91
‫قطعه‬ ‫های‬ ‫ثبات‬(Segment Register)
92
‫پردازنده‬‫دارای‬‫چهار‬‫ثبات‬‫قطعه‬‫می‬‫باشد‬.‫هر‬‫ثبات‬،‫سگمنت‬‫آدرس‬‫شروع‬‫یک‬‫سگمنت‬‫را‬‫در‬‫خودش‬
‫نگهداری‬‫می‬‫کند‬.‫ثبات‬‫های‬‫قطعه‬‫عبارتند‬‫از‬CS،DS،SS‫و‬ES.
‫قطعه‬ ‫های‬ ‫ثبات‬(Segment Register)
93
‫ثبات‬CS‫حاوی‬‫آدرس‬‫شروع‬‫سگمنت‬‫کد‬‫برنامه‬‫است‬‫که‬‫در‬‫آدرس‬‫دهی‬‫دستورات‬‫مورد‬‫استفاده‬‫قرار‬‫می‬
‫گیرد‬.
‫ثبات‬DS‫ادرس‬‫شروع‬‫ناحیه‬‫داده‬‫ها‬‫را‬‫در‬‫خودش‬‫نگهداری‬‫می‬‫کند‬.‫به‬‫طوری‬،‫که‬‫دستورالعمل‬‫های‬،‫برنامه‬
‫برای‬‫مراجعه‬‫به‬‫داده‬‫ها‬‫از‬‫این‬‫آدرس‬‫استفاده‬‫می‬‫کنند‬.
‫ثبات‬SS‫ادرس‬‫شروع‬‫سگمنت‬‫پشته‬‫را‬‫نگهداری‬‫می‬‫کند‬.‫این‬‫ثبات‬ً‫ال‬‫معمو‬‫توسط‬‫سیستم‬‫مورد‬‫اس‬‫تفاده‬
‫قرار‬‫می‬‫گیرید‬‫و‬‫برنامه‬‫نویس‬‫کمتر‬‫به‬‫آن‬‫مراجعه‬‫می‬‫کند‬.
‫ثبات‬ES‫آدرس‬‫شروع‬‫سگمنت‬‫اضافی‬‫را‬‫نگهداری‬‫می‬‫کند‬.
‫قطعه‬ ‫های‬ ‫ثبات‬(Segment Register)
94
‫پردازنده‬ ‫در‬ ‫قطعه‬ ‫های‬ ‫ثبات‬
‫حافظه‬ ‫در‬ ‫کد‬ ‫های‬ ‫سگمنت‬
‫قطعه‬ ‫های‬ ‫ثبات‬(Segment Register)
95
‫اندیس‬ ‫های‬ ‫ثبات‬
96
‫ثبات‬‫های‬‫اندیس‬‫حاوی‬‫آفست‬(offset)‫داده‬‫ها‬‫و‬‫دستورالعمل‬‫ها‬‫در‬‫داخل‬‫سگمنت‬‫ها‬‫هستند‬.‫ثبات‬‫های‬
‫اندیس‬‫در‬‫پردازش‬‫رشته‬،‫ها‬‫آرایه‬‫ها‬‫و‬‫سایر‬‫ساختمان‬‫داده‬‫هایی‬‫که‬‫حای‬‫چند‬‫عنصر‬،‫هستند‬‫موجب‬‫افزای‬‫ش‬
‫سرعت‬‫می‬‫شوند‬.‫ثبات‬‫های‬‫اندیس‬‫عبارتند‬‫از‬BP،SP،SI‫و‬DI.
‫آفست‬(OFFSET)
97
‫منظور‬‫از‬،‫آفست‬‫فاصله‬،‫متغیر‬‫برچسب‬‫یا‬‫دستورالعمل‬‫از‬‫ابتدای‬‫سگمنت‬‫آن‬‫است‬.
‫آفست‬(OFFSET)
98
‫ثبات‬BP(base pointer)
99
‫این‬‫ثبات‬‫حاوی‬‫آفستی‬‫از‬‫ثبات‬SS(‫ثبات‬‫پشته‬)‫است‬.‫در‬‫فراخوانی‬‫زیربرنامه‬‫ها‬‫چنانچه‬‫پارامترها‬‫از‬‫طری‬‫ق‬
‫پشته‬‫به‬‫زیر‬‫برنامه‬‫منتقل‬،‫شوند‬‫از‬‫طریق‬‫ثبات‬BP‫قابل‬‫بازیابی‬‫خواند‬‫بود‬.
‫ثبات‬SP(Stack Pointer)
100
‫این‬‫ثبات‬‫حاوی‬‫آفست‬‫باالی‬‫پشته‬‫است‬.‫ثبات‬‫های‬SP‫و‬SS‫با‬‫هم‬‫ترکیب‬‫می‬‫شوند‬‫تا‬‫آدرس‬‫کامل‬‫باالی‬
‫پشته‬‫را‬‫ایجاد‬‫کنند‬.
‫ثبات‬SI(Source Index)
101
‫این‬‫ثبات‬‫برای‬‫عملیات‬‫رشته‬‫ای‬‫مورد‬‫استفاده‬‫قرار‬‫می‬‫گیرد‬‫و‬‫آدرس‬‫رشته‬‫منبع‬‫را‬‫نگهداری‬‫می‬‫ک‬‫ند‬.‫به‬
‫همین‬‫دلیل‬‫آن‬‫را‬‫ثبات‬‫اندیس‬‫منبع‬‫می‬‫گویند‬.
‫ثبات‬DI(Destination Index)
102
‫این‬‫ثبات‬‫آدرس‬‫رشته‬‫مقصد‬‫را‬‫در‬‫عملیات‬‫رشته‬‫ای‬‫نگهداری‬‫می‬‫کند‬‫و‬‫به‬‫همین‬‫دلیل‬‫ثبات‬‫اندیس‬‫مقصد‬
‫نام‬‫دارد‬.
‫برنامه‬ ‫شمارنده‬ ‫ثبات‬IP(Instruction Pointer)
103
‫این‬‫ثبات‬‫همواره‬‫حاوی‬‫آفست‬‫دستور‬‫اجرایی‬‫بعدی‬‫در‬‫سگمنت‬‫کد‬‫است‬.
‫پرچم‬ ‫ثبات‬(Flag Register)
104
‫ثبات‬‫مخصوصی‬‫است‬‫که‬‫بیت‬‫های‬‫آن‬‫وضعیت‬‫پردازنده‬‫مرکزی‬‫یا‬‫نتیجه‬‫عملیات‬‫محاسباتی‬‫را‬‫نش‬‫ان‬‫می‬
‫دهد‬.‫هر‬‫بیت‬‫دارای‬‫نامی‬‫است‬‫و‬‫تعدادی‬‫از‬‫این‬‫بیت‬‫ها‬‫بال‬‫استفاده‬‫است‬.‫شش‬‫عدد‬‫از‬‫بیت‬‫های‬‫این‬‫پر‬‫چم‬
(CF,ZF,SF,AF,PF,OF)‫بیت‬‫های‬‫شرطی‬‫نامیده‬‫می‬،‫شوند‬‫جون‬‫در‬‫نتیجه‬‫اجرای‬‫دستورات‬
‫محاسباتی‬‫صفر‬‫یا‬‫یک‬‫می‬‫شوند‬.‫سه‬‫بیت‬‫دیگر‬(IF,TF,DF)‫بیت‬‫های‬‫کنترل‬‫می‬،‫باشند‬‫چون‬‫برای‬‫کنترل‬
‫عملیات‬‫دستورات‬‫استفاده‬‫می‬‫گردند‬.‫بقیه‬‫بیت‬‫های‬‫این‬‫ثبات‬‫رزرو‬‫شده‬‫و‬‫کاربردی‬‫ندارند‬.
‫پرچم‬ ‫ثبات‬(Flag Register)
105
‫پرچم‬ ‫ثبات‬ ‫های‬ ‫بیت‬
106
‫نقلی‬ ‫پرچم‬ ‫بیت‬CF(Carry Flag)
107
‫اگر‬‫پس‬‫از‬‫انجام‬‫محاسبات‬‫بر‬‫روی‬‫اعداد‬‫سرریز‬‫رخ‬،‫دهد‬‫این‬‫بیت‬‫یک‬(CF=1)‫می‬‫شود‬.
‫صفر‬ ‫تشخیص‬ ‫بیت‬ZF(Zero Flag)
108
‫این‬‫بیت‬‫چنانچه‬‫نتیجه‬‫عملیات‬‫برابر‬‫با‬‫صفر‬،‫شود‬‫یک‬‫خواهد‬‫شد‬(ZF=1).
‫عالمت‬ ‫پرچم‬ ‫بیت‬SF(Sign Flag)
109
‫این‬‫بیت‬‫برای‬‫بررسی‬‫نتیجه‬‫عمالیت‬‫محاسباتی‬‫بکار‬‫می‬‫رود‬.‫اگر‬‫نتیجه‬‫عملیات‬‫منفی‬‫باشد‬‫ا‬‫ین‬‫بیت‬‫برابر‬
‫یک‬(SF=1)‫و‬‫گرنه‬‫برابر‬‫صفر‬(SF=0)‫است‬.
‫کمکی‬ ‫نقلی‬ ‫پرچم‬ ‫بیت‬AF(Auxiliary Flag)
110
‫به‬‫معنی‬‫رقم‬‫نقلی‬‫کمکی‬‫است‬.‫چنانچه‬‫در‬‫محاسبات‬8،‫بیتی‬‫رقم‬‫نقلی‬‫در‬‫بیت‬‫سوم‬‫ایجاد‬،‫شود‬‫این‬‫بیت‬
‫برابر‬‫یک‬(AF=1)‫خواهد‬‫شد‬.
‫توازن‬ ‫پرچم‬ ‫بیت‬PF(Parity Flag)
111
‫بعد‬‫از‬‫عملیات‬‫محاسباتی‬‫یا‬،‫منطقی‬‫بایت‬‫کوچکتر‬‫بررسی‬‫می‬،‫شود‬‫اگر‬‫تعداد‬‫بیت‬‫های‬‫یک‬‫ای‬‫ن‬،‫بایت‬‫زوج‬
،‫باشد‬‫بیت‬‫توازن‬‫یک‬(PF=1)‫می‬،‫شود‬‫در‬‫غیر‬‫این‬‫صورت‬‫بیت‬‫توازن‬‫صفر‬(PF=0)‫می‬‫گردد‬.
‫سرریز‬ ‫پرچم‬ ‫بیت‬OF(Overflow Flag)
112
‫چنانچه‬‫در‬‫انجام‬،‫محاسبات‬‫آخرین‬‫بیت‬(‫بیت‬‫با‬‫ارزش‬)‫به‬‫دلیل‬‫سرریز‬‫شدن‬‫از‬‫بین‬،‫برود‬‫بیت‬‫پر‬‫چم‬‫سرریز‬
‫برابر‬‫یا‬‫یک‬(OF=1)‫می‬‫شود‬.
‫وقفه‬ ‫کردن‬ ‫فعال‬ ‫بیت‬IF(Interrupt Flag)
113
‫این‬‫بیت‬‫برای‬‫فعال‬،‫کردن‬‫یا‬‫غیر‬‫فعال‬‫کردن‬‫وقفه‬‫های‬‫خارجی‬‫است‬.‫اگر‬‫این‬‫بیت‬‫یک‬(IF=1)‫باشد‬
‫سیستم‬‫به‬‫وقفه‬‫ها‬‫پاسخ‬‫می‬‫دهد‬‫و‬‫گرنه‬‫وقفه‬‫ها‬‫را‬‫نادیده‬‫می‬‫گیرد‬.
‫بیت‬TF(Trap Flag)
114
Trap‫به‬‫معنی‬‫قدم‬‫به‬‫قدم‬‫است‬.‫چنانچه‬‫این‬‫بیت‬‫برابر‬‫یک‬(TF=1)،‫باشد‬‫اجرای‬‫برنامه‬‫به‬‫صورت‬‫دستور‬
‫به‬‫دستور‬‫انجام‬‫می‬‫شود‬.‫به‬‫عبارت‬‫دیگر‬‫یک‬‫دستور‬‫اجرا‬‫می‬،‫شود‬‫بعد‬‫از‬‫بررسی‬‫محاسبات‬‫توسط‬‫ک‬،‫اربر‬
‫دستور‬‫بعدی‬‫اجرا‬‫می‬‫شود‬.‫این‬‫عمل‬‫برای‬‫پیدا‬‫کردن‬‫اشتباه‬‫در‬‫برنامه‬‫بسیار‬‫مناسب‬‫می‬‫باشد‬.
‫بیت‬DF(Direction Flag)
115
‫این‬‫بیت‬‫برای‬‫کنترل‬‫اعمال‬‫رشته‬‫ای‬‫مثل‬‫مقایسه‬‫و‬‫انتقال‬‫رشته‬‫هایی‬‫که‬‫بیش‬‫از‬‫یک‬‫کلمه‬‫هس‬،‫تند‬‫بکار‬‫می‬
‫رود‬.‫اگر‬‫این‬‫بیت‬‫برابر‬‫با‬‫یک‬(DF=1)،‫باشد‬‫عمل‬‫مقایسه‬‫یا‬‫شیفت‬‫از‬‫سمت‬‫راست‬‫به‬‫چپ‬‫انجام‬‫می‬‫شود‬
‫واگر‬‫برابر‬‫صفر‬(DF=0)‫باشد‬‫از‬‫سمت‬‫چپ‬‫به‬،‫راست‬‫عمل‬‫مقایسه‬‫یا‬‫شیفت‬‫انجام‬‫می‬‫شود‬.
‫مثال‬
116
‫قبل‬ ‫مثال‬ ‫جواب‬
117
‫مثال‬
118
‫قبل‬ ‫مثال‬ ‫جواب‬
119
120
‫فصل‬ ‫پایان‬‫دوم‬

More Related Content

Similar to Machine & Assembly Language - Chapter 2

Cisco Exploration 2 In Persion-Muhibullah Aman
Cisco Exploration 2 In Persion-Muhibullah AmanCisco Exploration 2 In Persion-Muhibullah Aman
Cisco Exploration 2 In Persion-Muhibullah AmanMuhibullah Aman
 
1st seminar oc_in_afghanistan
1st seminar oc_in_afghanistan1st seminar oc_in_afghanistan
1st seminar oc_in_afghanistanAsad Salihi
 
Operating Systems(Ayat)
Operating Systems(Ayat)Operating Systems(Ayat)
Operating Systems(Ayat)guestaffefa9
 
تنظیم فایروال میکروتیک برای دسترسی سیستمها به اینترنت
تنظیم فایروال میکروتیک برای دسترسی سیستمها به اینترنت   تنظیم فایروال میکروتیک برای دسترسی سیستمها به اینترنت
تنظیم فایروال میکروتیک برای دسترسی سیستمها به اینترنت abbas pirnazaraine
 
مروی بر استارترکیتها و پلتفرمهای اینترنت اشیاء
مروی بر استارترکیتها و پلتفرمهای اینترنت اشیاءمروی بر استارترکیتها و پلتفرمهای اینترنت اشیاء
مروی بر استارترکیتها و پلتفرمهای اینترنت اشیاءstartupIoT
 
Socket Programming in C++
Socket Programming in C++Socket Programming in C++
Socket Programming in C++saeed_delphi
 
سخت افزاردی 94
سخت افزاردی 94سخت افزاردی 94
سخت افزاردی 94pem515
 
سخت افزار دی 94
سخت افزار دی 94سخت افزار دی 94
سخت افزار دی 94pani ansari
 
سخت افزار دی 94
سخت افزار دی 94سخت افزار دی 94
سخت افزار دی 94pani ansari
 
04 memory traffic_fundamentals_of_parallelism_and_code_optimization-www.astek...
04 memory traffic_fundamentals_of_parallelism_and_code_optimization-www.astek...04 memory traffic_fundamentals_of_parallelism_and_code_optimization-www.astek...
04 memory traffic_fundamentals_of_parallelism_and_code_optimization-www.astek...aminnezarat
 
انقلاب تکنولوژیک در نرم ساخت رایانه های شخصی
انقلاب تکنولوژیک در نرم ساخت رایانه های شخصیانقلاب تکنولوژیک در نرم ساخت رایانه های شخصی
انقلاب تکنولوژیک در نرم ساخت رایانه های شخصیعباس بني اسدي مقدم
 
Pdn tech-netfilter&iptables-ver2.1.0
Pdn tech-netfilter&iptables-ver2.1.0Pdn tech-netfilter&iptables-ver2.1.0
Pdn tech-netfilter&iptables-ver2.1.0pdnsoftco
 
Pdn tech-netfilter&iptables-ver2.1.0
Pdn tech-netfilter&iptables-ver2.1.0Pdn tech-netfilter&iptables-ver2.1.0
Pdn tech-netfilter&iptables-ver2.1.0pdnsoftco
 
Chapter 04 - introduction to tcpip protocols
Chapter 04 - introduction to tcpip protocolsChapter 04 - introduction to tcpip protocols
Chapter 04 - introduction to tcpip protocolsbehrad eslamifar
 

Similar to Machine & Assembly Language - Chapter 2 (20)

Cisco Exploration 2 In Persion-Muhibullah Aman
Cisco Exploration 2 In Persion-Muhibullah AmanCisco Exploration 2 In Persion-Muhibullah Aman
Cisco Exploration 2 In Persion-Muhibullah Aman
 
1st seminar oc_in_afghanistan
1st seminar oc_in_afghanistan1st seminar oc_in_afghanistan
1st seminar oc_in_afghanistan
 
Operating Systems(Ayat)
Operating Systems(Ayat)Operating Systems(Ayat)
Operating Systems(Ayat)
 
تنظیم فایروال میکروتیک برای دسترسی سیستمها به اینترنت
تنظیم فایروال میکروتیک برای دسترسی سیستمها به اینترنت   تنظیم فایروال میکروتیک برای دسترسی سیستمها به اینترنت
تنظیم فایروال میکروتیک برای دسترسی سیستمها به اینترنت
 
Io t slide
Io t slideIo t slide
Io t slide
 
مروی بر استارترکیتها و پلتفرمهای اینترنت اشیاء
مروی بر استارترکیتها و پلتفرمهای اینترنت اشیاءمروی بر استارترکیتها و پلتفرمهای اینترنت اشیاء
مروی بر استارترکیتها و پلتفرمهای اینترنت اشیاء
 
Sadra
SadraSadra
Sadra
 
Socket Programming in C++
Socket Programming in C++Socket Programming in C++
Socket Programming in C++
 
سخت افزاردی 94
سخت افزاردی 94سخت افزاردی 94
سخت افزاردی 94
 
سخت افزار دی 94
سخت افزار دی 94سخت افزار دی 94
سخت افزار دی 94
 
سخت افزار دی 94
سخت افزار دی 94سخت افزار دی 94
سخت افزار دی 94
 
04 memory traffic_fundamentals_of_parallelism_and_code_optimization-www.astek...
04 memory traffic_fundamentals_of_parallelism_and_code_optimization-www.astek...04 memory traffic_fundamentals_of_parallelism_and_code_optimization-www.astek...
04 memory traffic_fundamentals_of_parallelism_and_code_optimization-www.astek...
 
انقلاب تکنولوژیک در نرم ساخت رایانه های شخصی
انقلاب تکنولوژیک در نرم ساخت رایانه های شخصیانقلاب تکنولوژیک در نرم ساخت رایانه های شخصی
انقلاب تکنولوژیک در نرم ساخت رایانه های شخصی
 
Iot and-gnu-linux
Iot and-gnu-linuxIot and-gnu-linux
Iot and-gnu-linux
 
HotSpot
HotSpotHotSpot
HotSpot
 
رزومه شرکت
رزومه شرکترزومه شرکت
رزومه شرکت
 
Pdn tech-netfilter&iptables-ver2.1.0
Pdn tech-netfilter&iptables-ver2.1.0Pdn tech-netfilter&iptables-ver2.1.0
Pdn tech-netfilter&iptables-ver2.1.0
 
Pdn tech-netfilter&iptables-ver2.1.0
Pdn tech-netfilter&iptables-ver2.1.0Pdn tech-netfilter&iptables-ver2.1.0
Pdn tech-netfilter&iptables-ver2.1.0
 
About ICDL.pptx
About ICDL.pptxAbout ICDL.pptx
About ICDL.pptx
 
Chapter 04 - introduction to tcpip protocols
Chapter 04 - introduction to tcpip protocolsChapter 04 - introduction to tcpip protocols
Chapter 04 - introduction to tcpip protocols
 

More from M Nemati

JavaScript
JavaScriptJavaScript
JavaScriptM Nemati
 
Introduction
IntroductionIntroduction
IntroductionM Nemati
 
Operating System - Chapter 01
Operating System - Chapter 01Operating System - Chapter 01
Operating System - Chapter 01M Nemati
 
Network security
Network securityNetwork security
Network securityM Nemati
 
Artificial Intelligence (AI)
Artificial Intelligence (AI)Artificial Intelligence (AI)
Artificial Intelligence (AI)M Nemati
 
Machine & Assembly Language - Chapter 5
Machine & Assembly Language - Chapter 5Machine & Assembly Language - Chapter 5
Machine & Assembly Language - Chapter 5M Nemati
 
Machine & Assembly Language - Chapter 4
Machine & Assembly Language - Chapter 4Machine & Assembly Language - Chapter 4
Machine & Assembly Language - Chapter 4M Nemati
 
Machine & Assembly Language - Chapter 3
Machine & Assembly Language - Chapter 3Machine & Assembly Language - Chapter 3
Machine & Assembly Language - Chapter 3M Nemati
 
Machine & Assembly Language - Chapter 1
Machine & Assembly Language - Chapter 1Machine & Assembly Language - Chapter 1
Machine & Assembly Language - Chapter 1M Nemati
 
IoT Course - Chapter 1
IoT Course -  Chapter 1IoT Course -  Chapter 1
IoT Course - Chapter 1M Nemati
 
Java script
Java scriptJava script
Java scriptM Nemati
 
Fundamentals of programming
Fundamentals of programmingFundamentals of programming
Fundamentals of programmingM Nemati
 
مقدمه درس برنامه نویسی مبتنی بر وب
مقدمه درس برنامه نویسی مبتنی بر وبمقدمه درس برنامه نویسی مبتنی بر وب
مقدمه درس برنامه نویسی مبتنی بر وبM Nemati
 

More from M Nemati (20)

jQuery
jQueryjQuery
jQuery
 
JavaScript
JavaScriptJavaScript
JavaScript
 
Bootstrap
BootstrapBootstrap
Bootstrap
 
CSS
CSSCSS
CSS
 
HTML
HTMLHTML
HTML
 
Introduction
IntroductionIntroduction
Introduction
 
Operating System - Chapter 01
Operating System - Chapter 01Operating System - Chapter 01
Operating System - Chapter 01
 
Network security
Network securityNetwork security
Network security
 
Artificial Intelligence (AI)
Artificial Intelligence (AI)Artificial Intelligence (AI)
Artificial Intelligence (AI)
 
Machine & Assembly Language - Chapter 5
Machine & Assembly Language - Chapter 5Machine & Assembly Language - Chapter 5
Machine & Assembly Language - Chapter 5
 
Machine & Assembly Language - Chapter 4
Machine & Assembly Language - Chapter 4Machine & Assembly Language - Chapter 4
Machine & Assembly Language - Chapter 4
 
Machine & Assembly Language - Chapter 3
Machine & Assembly Language - Chapter 3Machine & Assembly Language - Chapter 3
Machine & Assembly Language - Chapter 3
 
Machine & Assembly Language - Chapter 1
Machine & Assembly Language - Chapter 1Machine & Assembly Language - Chapter 1
Machine & Assembly Language - Chapter 1
 
IoT Course - Chapter 1
IoT Course -  Chapter 1IoT Course -  Chapter 1
IoT Course - Chapter 1
 
J query
J queryJ query
J query
 
Java script
Java scriptJava script
Java script
 
Fundamentals of programming
Fundamentals of programmingFundamentals of programming
Fundamentals of programming
 
CSS
CSSCSS
CSS
 
HTML
HTMLHTML
HTML
 
مقدمه درس برنامه نویسی مبتنی بر وب
مقدمه درس برنامه نویسی مبتنی بر وبمقدمه درس برنامه نویسی مبتنی بر وب
مقدمه درس برنامه نویسی مبتنی بر وب
 

Machine & Assembly Language - Chapter 2